Presentation + Paper
26 September 2019 Alternative reticles for low-k1 EUV imaging
Author Affiliations +
Abstract
Alternative reticles have the potential to improve EPE for low-k1 EUV lithography on multiple aspects, by reducing mask 3D effects and improving optical contrast. We study the application of high-k masks and attenuated phase-shift masks at diffraction level and show that mitigation of mask 3D effects, such as contrast fading, is crucial for both good performance of both alternative-reticle types. We present optimum embodiments for both mask types. We find that the optimum attenuated phase-shift mask (PSM) results in a phase shift of 1.2 π. The extra 0.2 π phase shift required for the EUV mask compared to its DUV counterpart is needed to compensate the strong mask 3D effects; the 1.2 π phase shift is crucial for good performance at small pitch and was found for all 3 materials studied in this work: Ru, Pd, and Mo. We show that our Rubased attenuated PSM embodiment results in a strong gain in normalized image log slope (NILS). <30% NILS gain can be achieved compared to a Ta-based reference mask. To demonstrate the generic applicability of the mask, we show NILS gain using the same attenuated PSM embodiment for different use cases for 0.33 and 0.55-NA EUV lithography, including regular contacts, DRAM patterns, and contacts through pitch. We show that the optimum mask-type choice is application dependent and present our recommendations in a mask-decision tree. We discuss the implications of using new reticle absorbers for scanner integration.
Conference Presentation
© (2019) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
M.-Claire van Lare, Frank J. Timmermans, and Jo Finders "Alternative reticles for low-k1 EUV imaging", Proc. SPIE 11147, International Conference on Extreme Ultraviolet Lithography 2019, 111470D (26 September 2019); https://doi.org/10.1117/12.2536415
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Extreme ultraviolet

Reticles

Nanoimprint lithography

Extreme ultraviolet lithography

Phase shifts

Diffraction

RELATED CONTENT

Interactions of 3D mask effects and NA in EUV lithography
Proceedings of SPIE (November 08 2012)
Low-n mask progressing insights: focus on isolated features
Proceedings of SPIE (December 01 2022)
Alternative mask materials for low-k1 EUV imaging
Proceedings of SPIE (August 29 2019)
EUV High NA scanner and mask optimization for sub 8...
Proceedings of SPIE (November 16 2015)
EUV phase shift mask requirements for imaging at low-k1
Proceedings of SPIE (January 01 1900)

Back to Top