Paper
8 April 2011 Impact of mask line roughness in EUV lithography
Author Affiliations +
Abstract
Resist line edge/width roughness is one of the most critical aspects in EUV lithography for the 32 nm technological node and below. It is originated by the uncertainties which characterize the lithographic process: source speckle effect, mask line and surface roughness, mirror roughness, flare effect and resist pattern formation all contribute to the final roughness. In this paper mask and resist line edge roughness were compared by means of frequency analysis on top-down SEM images: it was found that low frequencies mask roughness are well correlated with the Power Spectral Density of the resist roughness. Mask high frequencies components resulted less critical due to the natural cut-off of the optical system. Experimental data for both mask and resist were implemented in the PROLITH Stochastic Resist Model simulator to quantify the mask line edge roughness contribution to the final resist roughness: the results showed that 16% of the low frequency resist roughness component is originated at the mask level. For that reason, mask impact was set as 0.6 nm of the overall line edge roughness resist budget.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Alessandro Vaglio Pret, Roel Gronheid, Trey Graves, Mark D. Smith, and John Biafore "Impact of mask line roughness in EUV lithography", Proc. SPIE 7969, Extreme Ultraviolet (EUV) Lithography II, 79691T (8 April 2011); https://doi.org/10.1117/12.879463
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications and 1 patent.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Line edge roughness

Extreme ultraviolet lithography

Stochastic processes

Extreme ultraviolet

Diffraction

Metrology

RELATED CONTENT


Back to Top