Paper
4 April 2011 Double patterning compliant logic design
Author Affiliations +
Abstract
Double patterning technology (DPT) is the only solution to enable the scaling for advanced technology nodes before EUV or any other advanced patterning techniques become available. In general, there are two major double patterning techniques: one is Litho-Etch-Litho-Etch (LELE), and the other is sidewall spacer technology, a Self-Aligned Double Patterning technique (SADP). While numerous papers have previously demonstrated these techniques on wafer process capabilities and processing costs, more study needs to be done in the context of standard cell design flow to enable their applications in mass production. In this paper, we will present the impact of DPT on logic designs, and give a thorough discussion on how to make DPT-compliant constructs, placement and routing using examples with Cadence's Encounter Digital Implementation System (EDI System).
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yuangsheng Ma, Jason Sweis, Chris Bencher, Yunfei Deng, Huixiong Dai, Hidekazu Yoshida, Bimal Gisuthan, Jongwook Kye, and Harry J. Levinson "Double patterning compliant logic design", Proc. SPIE 7974, Design for Manufacturability through Design-Process Integration V, 79740D (4 April 2011); https://doi.org/10.1117/12.879846
Lens.org Logo
CITATIONS
Cited by 14 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Double patterning technology

Semiconducting wafers

Logic

Optical lithography

Back end of line

Etching

Metals

RELATED CONTENT


Back to Top