Paper
1 April 2013 Study of LWR reduction and pattern collapse suppression for 16nm node EUV resists
Author Affiliations +
Abstract
EUV lithography is the most promising future technology for manufacturing devices at and below 16-nm half-pitch node. However, line width roughness (LWR) and pattern collapse are major concerns in device manufacture. In this study, the ability of surfactant rinses to reduce LWR and suppress pattern collapse was investigated. Certain surfactant rinses achieved both. We analyzed the critical dimension (CD) variation of resist patterns during surfactant rinse by highspeed atomic force microscopy (HS-AFM). No significant swelling was observed during the rinse process. We also evaluated a smoothing process subsequent to rinsing; namely, the highly controllable post-bake process. Surfactant rinse followed by post-bake process further reduced LWR.
© (2013) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Eishi Shiobara, Yukiko Kikuchi, and Toshiro Itani "Study of LWR reduction and pattern collapse suppression for 16nm node EUV resists", Proc. SPIE 8679, Extreme Ultraviolet (EUV) Lithography IV, 86792B (1 April 2013); https://doi.org/10.1117/12.2011482
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Line width roughness

Extreme ultraviolet

Photoresist processing

Critical dimension metrology

Extreme ultraviolet lithography

Image processing

Manufacturing

Back to Top