PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Strong trends drive the semiconductor industry: ubiquitous computing, the energy transformation and artificial intelligence, to name just a few, have the potential to propel the industry towards $1 trillion of sales by 2030. Enabling this trajectory is the extension of Moore’s Law through innovation in semiconductor devices, materials, manufacturing technologies and 3D integration. Moore’s Law, today, is best understood as scaling system energy-efficient performance. To enable chip makers in their pursuit of more powerful, smaller, cheaper, more integrated and more energy-efficient chips, ASML focuses on driving a holistic lithography roadmap with innovation across the entire product and service portfolio. At the core of it is EUV lithography technology. EUV is now mature, and the roadmap offers further improvements in imaging performance, accuracy and productivity, as well as a major reduction in cost per wafer. ASML has shipped the first High NA EUV systems and is enabling customers to run R&D wafers in its High NA lab in Veldhoven, the Netherlands. The new High NA optics, combined with progress on the EUV source, provide the foundation for the future of EUV in the form of a common platform, capable of carrying 0.33 NA, 0.55 NA and 0.75 NA and providing a significant cost reduction opportunity. ASML is committed to push technology to new limits, partnering with all members of our ecosystem, to enable chip makers to realize their ambitions.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
‘Systems of chips’ such as 3D ICs and HBMs are already being widely applied in industry sectors such as high-performance computing, mobile, and automotive. The design of such chips has required a ‘hyperconvergence’ of various design and multiphysics disciplines. To fabricate such chips efficiently, we are also beginning to see increased convergence of design, multiphysics, and manufacturing technologies. And AI technologies have proliferated widely in design and manufacturing solutions, helping accelerate the availability of AI platforms, setting up a virtuous cycle of AI helping AI.
In this talk, I will survey the state of the industry on these fronts and share some suggestions to accelerate this convergence.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Joint Session with Photomask and EUVL: Prospects of Current and Future EUVL
The optical train is a key element of each lithography scanner. The single patterning resolution limit of a scanner is determined by the characteristics and performance of its imaging system consisting of illumination and projection optics. In this paper, we present status and performance parameters of the next generation “High-NA EUV” optical system. Further, we discuss the current NA 0.33 optical system, where key parameters have been improved to support higher productivity in volume production. Additionally, the EUV roadmap and further progress of our developments will be shown.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV lithography continues to make scaling cost effective for chip manufacturers and allows Moore`s law to pursue. High NA EUV with its increased numerical aperture (NA) from 0.33NA to 0.55NA enables 1.7x smaller features and improved local CDU. This brings several benefits for advance chipmakers such as patterning cost reduction due to multi-patterning, reduced defect density as a result of process simplification and shorter cycle time via mask reduction. Currently, there are multiple high NA EUV systems (EXE:5000) which completed the built and qualification in the ASML factory. The first performance data is being collected via one of these high NA EUV systems. This paper will cover the performance results of the high NA EUV platform (EXE:5000) on imaging and overlay based on the initial findings from common learning collaboration. Furthermore, the progresses towards future high NA EUV systems will be described.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Dry resist deposition and development technology is being adopted to break the tradeoffs among resolution, sensitivity, roughness, and defect performance to enable HVM for high NA EUV. Dry resist patterning performance at 0.33 NA EUV for pitch 24 nm dense line-space will be presented showing the readiness towards the high NA EUV patterning. By co-optimizing process parameters such as underlayer type, post exposure bake strategy, and dry development chemistry, dose to roughness and defectivity trade off can be overcome, leading to better roughness and defectivity without a compromise in dose. We examine small and large area defectivity post litho and post pattern transfer using CDSEM and broad band plasma (BBP) defectivity bright field inspection techniques to understand the effect of process parameters on bridge and break defects. Additionally, we explore how scaling underlayer thickness and stack configuration impacts the final pattern quality. Overall, this study emphasizes the importance of co-optimizing the process parameters to achieve the best results in dry resist patterning, especially as feature sizes shrink.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
A critical challenge in semiconductor manufacturing is the high electricity consumption, especially by lithography tools, which significantly contribute to the industry's environmental impact. For lithography track systems, reducing the energy consumption of hotplate processes is essential due to their high energy demands. This study introduces an innovative, energy-efficient process that offers a viable alternative to conventional thermal crosslinking systems for Spin-On Carbon (SOC) and spin-on glass (SOG) underlayers, which typically require high temperatures for full film densification. The proposed method utilizes an overall-wafer optical exposure system, integrated on SCREEN’s DT-3000 track, to crosslink underlayer materials designed by Brewer Science to cure through light exposure. This paper demonstrates how this optical crosslinking approach can achieve substantial energy savings of 85% for SOC and 60% for SOG, while maintaining lithographic performance. The transition from a traditional hotplate process to a light-curing mechanism is thoroughly examined from multiple perspectives.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV lithography has been realized to use the mass production. Therefore, the greatest interest of the EUV lithography is sub 10nm resolution patterning capability using high NA optics system. Currently, some of the new resist platform have been investigated and metal oxide resist and dry film resist were particularly achieved good patterning performance and etching durability as negative type resist by using metal component in the systems. Previously, we proposed a novel positive tone organometal chemically amplified resist by making dissolution contrast though chain scission and polarity change to develop for nonpolar solvent. After some modification of the resist material, we demonstrate positive and negative tone pattering by using 125keV EB drawing system with alkyl acetates as developers. We will share some of the progress to improve sensitivity and resolution of positive and negative tone organometallic resist in the conference.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Natural physical phenomena occurring at length scales of a few nm in EUV lithography give rise to variation in photoresist images: edge, width, and top roughness, feature-to-feature CD or shape variability, edge placement errors, etc. The most damaging are stochastic printing failures caused by undesirable film thickness loss, admitting etch in line regions, or film thickness gain, preventing etch in space regions. In this work, we begin from analysis of well-calibrated rigorous physical stochastic EUV lithography models to study nanoscale exposure effects affecting stochastic failures. We apply acceleration to the stochastic model and perform computational inspection and classification of hot spots on a large layout area. The agreement between predicted probabilities of occurrence and observed defect frequencies are given for both line and space hot spots. We then perform computational inspection upon a virtual process and select hot spot locations and affect repairs. The actual mask is then fabricated, real wafers are exposed, processed, inspected and measured to compare the predicted reductions in defect probabilities with actual measured defect frequencies on wafer.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
High NA anamorphic EUV scanner has anamorphic optics with 8x demagnification in y direction and thus twice smaller exposure fields 26x16.5 mm2. In-die stitching may be required in order to create dies larger than High NA exposure field. In this work we consider stitching of vertical lines and spaces (LS) and establish methodology of stitching evaluation including detailed contour metrology at stitch, across wafer performance, process window and contrast metrics and sensitivity to single layer overlay between two stitched fields.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We have developed a massive on-cell overlay metrology system based on Mueller matrix measurements. By integrating microscopic techniques into ellipsometry, we achieved high-throughput and extensive sampling coverage, with 1-shot/field per 1-field of view (FOV) measurement capability within a 34 x 34 mm2 FOV. Analyzing the off-diagonal components of the Mueller matrix allowed for on-cell overlay measurement across the wafer. This system provides measurement sensitivity comparable to e-beam-based technologies while offering high coverage, enabling precise reticle correction or high-order overlay correction in photolithography processes. This advancement represents a significant improvement in overlay metrology, offering both sensitivity and resolution for enhanced semiconductor manufacturing processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV Lithography has made tremendous improvement over the last decade, but one of the remaining challenges is the mask defectivity. The current membrane-based EUV pellicle scheme can prevent the yield loss due to mask defectivity, but it comes with a high price tag including low throughput and availability loss when it ruptures in the scanner. On-time delivery of the final products by ensuring high yield is Samsung Foundry's number one priority, and Samsung Foundry has strengthened mask defect detection schemes and metrology to prevent and overcome the mask defectivity issue in the EUV scanners. However, as advanced nodes are rapidly ramping up and the types of defects becomes more diverse, there are limitations in detecting 'very small' defects with the existing techniques. Especially, one tiny defect can lead to a detrimental yield loss for large dies and one-shot-one-die products. In this paper, we will discuss various schemes including the most advanced EUV pellicle technology to mitigate and fundamentally solve the EUV mask defectivity issue and how we will deliver the highest quality and highest yield products to the end customers for the advance node products.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Pellicles are crucial for protecting reticles from the particle contamination in ASML systems. This study investigates the distinct impacts of hydrogen radicals and vacuum EUV photons on pellicle-like materials. We focus on the effects of these elements on 50 nm thick semi-amorphous SiN thin films at various surface temperatures. Characterization techniques such as real-time ellipsometry, in-situ XPS, ToF-SIMS, NRA, SEM and optical microscopy were used for comprehensive analysis. Surprisingly, while hydrogen radicals singularly did not evoke discernible alterations in the SiN thin films, vacuum EUV photons induced significant chemical and morphological changes, even at lower temperatures. These changes included hydrogen release and the formation of blister-like defects. On the other hand, higher temperature exposure did not cause blister-like defects despite increased hydrogen release. The results enhance our understanding of the intricate interactions within pellicle-like materials, offering crucial insights for advancing EUV lithography technology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Diamond-like carbon (DLC) is a highly adaptable material that, in thin film form, can have controllable density, band gap, optical constants, and stress, depending on the growth process. Blanket DLC film can be protected against hydrogen erosion by a protective or functional material, which is an advantage over porous material, like carbon nanotubes that require a conformal protective coating for hydrogen protection. Various film growth techniques have been employed to enhance DLC's versatility by reducing density and stress, resulting in improved EUV transmission and stability. Previously, we experimentally demonstrated that film grown using physical vapor deposition is about 23% less dense than the films made by pulsed filtered cathodic arc. Our experimental data indicate that the EUV extinction coefficient decreases equally with density, confirming its direct relation with density. In this work, we used another technique, ion beam deposition (IBD) with hydrocarbon plasma, to grow low-density DLC. Our data show that the extinction coefficient continues to decrease with density, confirming this new pathway for DLC towards a viable blanket material for pellicle applications.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Joint Session with Photomask and EUVL: Prospects of EUV Blanks
Phase Shift Mask (PSM) has been widely investigated in order to improve EUV patterning capability. In this work, rigorous simulation has been employed to identify the cause of the best focus shift shown in PSM and several strategies to find a solution to enable co-patterning of various pitch patterns are suggested. This paper also presents the effect of the sub-resolution assist feature as a method to mitigate the effect of 3D mask in PSM and how PSM helps to improve CD uniformity for various pitch contact array compared to BIN.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Chemical reactions leading to latent image formation in Chemically Amplified Resists (CARs) upon EUV exposure remain poorly understood. One barrier to understanding exposure chemistry lies in the sheer number of possible, competing reactions that occur upon exposure. Thus, we have combined high-throughput density functional theory and a chemical reaction network to perform kinetic Monte Carlo modelling to predict reactions occurring in an ESCAP photoresist upon blanket EUV exposure. Our model predicts the formation of several products, some of which have been previously experimentally observed outgassing from ESCAP photoresists, as well as new products formed within the polymer matrix. Additionally, we have performed analysis of our simulations to better understand pathways by which these products form. Here, we describe the refinement of our model, relevant, qualitative results, and compare the output of our model to experiments.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Photoresist stochastic effects are the result of nano-scale compositional variations stemming from statistical effects in both the process (e.g. photon shot-noise statistics) and the innate film structure. Several approaches to improve materials homogeneity have been pursued, for example the use of narrow molecular weight distributions, polymer-bound PAG and quencher, and more recently the preparation of photoresist polymers with uniform lengths and controlled sequences using solid-phase synthetic methods. We describe here a computational methodology to characterize how these approaches affect the film’s internal compositional uniformity. We use a coarse-grained three-dimensional spatial model to characterize how the spatial statistics of photoresist films are affected by polymer molecular weight, polydispersity, controlled and random sequence, polymer-bound photoacid generator/quencher and component aggregation. We examine how EUV exposure and deprotection impact the spatial statistics and discuss the connection between film structure and photoresist stochastic effects.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Hyper-NA EUV lithography poses new challenges. The extended chief ray angle and angular range on the photomask amplify mask-3D effects and the extended numerical aperture greatly reduces depth of focus. Pitch-dependent focus shifts further strain the focus budget. There is a critical need for EUV instrumentation to support research and development towards Hyper-NA. SHARP is a synchrotron-based EUV mask microscope. SHARP emulates the mask-side numerical aperture and illumination settings of current and future EUVL scanners. We have implemented mask-side Hyper-NA imaging on SHARP to experimentally study mask 3D-effects, best-focus shift, depth-of-focus and process window at Hyper-NA for different mask architectures. The newly added zoneplate lenses have mask-side numerical apertures of 0.75 4x/8xNA at 6.7º chief-ray angle and 0.85 4x/8xNA at 7.4º chief-ray angle. We characterize the imaging performance of the instrument and present image data, comparing imaging at 0.33 4xNA, High-NA imaging and hyper-NA imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The electronics industry has survived and flourished despite undergoing three major inflection points. In each case substantial US government funds were provided to overcome the crises, resulting in a well-funded semiconductor industry. In all cases the electronics industry absorbed the funds, but by free-will it adapted in its own way and emerged transformed and reenergized. The ability of the electronics industry to revitalize itself became evident as the 2020 pandemic revealed how fragile the global supply chains had become. Investments of hundreds of billions of dollars from governments around the world ensued to overcome a fourth crisis. Once again, the electronics industry reinvented itself as three concurring technologies are now supercharging a dramatic transformation that is going to forever change society as we now know it.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In semiconductor industry, higher chip performance is required by the market. High-NA EUV lithography will be employed in high volume manufacturing within few years due to achieve the requirement. Another requirement from the market is a reduction of PFAS in the manufacturing process because there are of concern due to their persistence in the environment and potential adverse health effects. However, conventional EUV resist use PFAS to improve their lithography performance. Considering this situation, non-PFAS biomass EUV resist has been proposed. It was confirmed HP 10 nm L/S pattern by 0.50 NA EUV lithography using MET5 tool by Lawrence Berkeley national laboratory with non-PFAS biomass EUV resist was obtained. In this paper, we will report our updated result by lithography process optimization.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We propose functional surface treatment process and primers (FSTP). FSTP is a spin-coated ultra-thin material which forms approximately single molecular layer (~1nm) not to bother pattern etch transfer. Moreover, our newly developed FSTP showed lithographic performance enhancement of MOR (metal oxide resist), by having 20~30% dose reduction. Currently, we found that some FSTP has substrate dependency in MOR lithographic performance and optimization of functional unit was effective for solving this issue. Therefore, FSTP plays a important role in both EUVL performance and pattern etch transfer. This makes FSTP as one of the promising candidates for the next-generation High-NA EUV process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
As semiconductor technology continues to evolve, the demand for advanced lithography techniques to meet the industry's requirements becomes crucial. High Numerical Aperture (NA) EUV lithography has emerged as a promising solution for realizing sub-10 nm node designs. However, realizing the full potential of high NA EUV lithography requires holistic efforts to improve the entire patterning process and deliver enhanced performance. This study shares the latest coater/developer development efforts focused on improving high NA EUV patterning performance. These advancements encompass the development of process optimizations, collectively geared towards enabling high-resolution, high-throughput, and defect-free patterning. The latest performance for both chemically amplified resists and metal oxide resists will be introduced, with a focus on defect mitigation, pattern collapse prevention and critical dimensions stability for pitch 24 nm line and space, pitch 32 nm contact hole and pillar pattern with single exposure. This result ensures the successful implementation of high NA EUV lithography for future technology nodes and beyond.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Since EUV lithography is performed in a vacuum chamber, hydrocarbon outgassing in the exposure chamber can cause contamination of the optical system in EUV lithography system. Although many studies have been reported on outgassing of resist and masks that are directly irradiated by EUV, there have been few reports discussing outgassing due to EUV irradiation of equipment components that are not directly irradiated but may be exposed to stray light. In this study, we report on the evaluation of outgassing deriving from cable materials in a vacuum and during EUV irradiation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Extreme ultraviolet (EUV) lithography is pivotal in advancing semiconductor technology by drastically reducing device dimensions and enabling the continuation of Moore's Law. However, the full potential of EUV lithography is constrained by conventional solution-based wet processing methods, which hinder further minimization of feature sizes. To unlock the next generation of semiconductor fabrication, there is an urgent need for dry development technology that addresses the environmental and performance limitations of traditional wet methods. Here, we introduce an etchant-free, dry-developable EUV photoresist composed of small molecules, utilizing N-heterocyclic carbene (NHC) metal-ligand complexes. Our photoresists exhibit exceptional EUV sensitivity, achieving half-saturation with only 8.5 or 27mJ/cm². These materials feature dry development capability through a simple thermal treatment, termed thermal development, for the removal of unexposed photoresist areas. This innovative material allows for a 80nm resolution via thermal development, demonstrating their potential for high-resolution patterning at low dosages and the realization of dry-developed nanopatterns
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this conference, we will report about new EUV research activity in Kyushu-Univ. in Japan. We have been planning EUV Exposure Research Center for support material development (Fig.1) and also new high power EUV source investigation program has been on going. It’s trigger is donation of 30kW CO2 driver laser system from Gigaphoton Inc. in 2022. Also In this paper we will discuss about the Sn plasma dynamics which dominate the EUV emission by using Thomson Scattering (TS) measurement4)(Fig.3). Recent TS results have revealed whole profiles of electron temperature and ion density in the EUV sources. These results mention that there is still sufficient potential to increase EUV output power and conversion efficiency in near future. This conceptual investing encourage us to improve EUV Light Source performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The resolution performance of EUV resist is usuary evaluated by line-space patterning using EB lithography because EUV lithography system is very expensive. However, since the exposure condition of resist is different between EB and EUV, it is also necessary to on pattern the resist using the actual EUV exposure tool. Hence, our group has been constructed EUV Interference Lithography (EUV-IL) tool by two-window-transmission grating at the BL09B beamline at NewSUBARU synchrotron light facility. In addition, beyond EUV (BEUV) with wavelength 6.7nm lithography is expected as next generation of lithography for further miniaturization. In BL09B, the incident wavelength is also covered BEUV for BEUV resist development. The exposure time depends on diffraction efficiency of the diffraction grating. The diffraction efficiency also depends on the grating pattern quality (thickness, ratio, pattern shape), support membrane (thickness, materials), and exposure wavelength (EUV, BEUV). In this study, we report the measurement of diffraction efficiencies of various patterns in EUV and BEUV, and the investigation of compensation for exposure.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the future, since semiconductor devices with higher-density electronic circuits are required, beyond EUV (BEUV) lithography technology with an exposure wavelength around 6.7nm is expected to utilize as the next generation lithography. La/B-based multilayers were reported as a high theoretical reflective multilayer. However, the stability of the reflectance of La/B-based multilayers would be low because of the high reactivity of La material. Thus, we proposed carbon/boron (C/B) multilayer for BEUV multilayer as a stable and highly reflective multilayer for BEUV Lithography. The optical constants of carbon films vary greatly with density. As the density of Carbon films increases, the reflectance of C/B multilayer improves, and the bandwidth becomes wider. In this study, we report the development of C/B multilayers with high density Carbon films.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The technical requirements for EUV lithography resists are low line-width roughness (LWR) and high sensitivity. LWR would occur at non-uniform reaction points of aggregated functional groups in the resists. Resonant soft x-ray absorption can be used to observe these functional groups with high contrast. To evaluate the micrometer-scale aggregation of resist compounds, reflection type soft x-ray projection microscope (RSXRPM) was developed at the BL-10 beamline of the NewSUBARU synchrotron light facility. In this study, we observed x-ray line chart of 100 to 500nm width to evaluate the resolution limit of this microscope. We also observed the resist thin film on the silicon substrate and the silicon substrate to evaluate the aggregation in the resist thin film.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The critical issue in EUV resists is the suppression of line width roughness (LWR). The one of the factors contributing to the LWR is the aggregation of chemical compositions in resist thin films. Hence, a method is needed to evaluate the aggregation of chemical composition in resist thin films. We have observed the chemical composition on the resist thin film using photoemission electron microscopy (PEEM). PEEM is a powerful evaluation tool to observe chemical information on sample surface, and promising for observing the aggregation of chemical composition on the resist thin film surface. In this study, we will report the observation of the carbon distribution of EUV resist thin film surface using PEEM and the measurement of XAS-PEEM spectra of the EUV resist thin film surface.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
EUV scanner is an extreme environment under vacuum and high photon energy of EUV light. Hydrogen is used as a background gas to maintain the cleanliness of the inner walls of the EUV lithography system, mirrors, and mask surfaces. However, hydrogen plasma generated by EUV light reacts to the films of masks and mirrors. So those components should be durable in such an environment. We tried to develop methods to evaluate the durability for standard 6-inch blanks and patterned masks. Our in-house evaluation tools successfully evaluated the scanner compatibility and enabled to find a condition with high durability among various film configurations of EUV blank. We will also discuss how scanner environment impacts to the blank and mask properties.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In this study, the authors present a unique setup for characterizing radiation sources for a broad wavelength range from 5nm to 1000nm. The setup includes flat-field diffraction gratings, a back-thinned CCD detector and spectral thin film filters. The gratings and detector were rigorously characterized at PTB, Berlin. Thin film filters absorb radiation from higher diffraction orders. The wavelength range above 200nm is measured using Czerny-Turner modules. The resulting spectra are combined to obtain the full spectrum. The overall design and the required calibration steps are covered in the contribution.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The transport of slow electrons is critical in various fields, including extreme ultraviolet (EUV) lithography, where electrons generated after EUV photon absorption can induce chemical reactions, affecting lithographic resolution. Investigating electron transport in EUV-relevant materials is essential for enhancing this resolution. Our presentation discusses the substrate-overlayer technique to measure electron attenuation lengths (EAL) and energy-resolved EAL in the 10 to 90eV range. We found that EAL values range from 0.6 to 2.7nm, with material composition having a greater impact on EAL than electron energy. Our results align well with literature findings, offering insights into low-energy electron behavior and their relationship with the universal curve, aiding the improvement of EUV lithography.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
We introduce a larger size of EUV pods, titled as “EUV LPOD,” that will require handling a large and thick photo mask (~153mm x ~305mm) and may include vibration and level sensors.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Molybenum shows good corrosion resistance against liquid metals like tin. This makes it an ideal material for EUV sources. However, a disadvantage of conventional processed Molybdenum is a rather low ductility at room temperature, depending on deformation and annealing conditions. Through extensive studies of grain boundaries and the segregation behavior of foreign elements in Molybdenum, combined with a special thermo-mechanical treatment, Plansee was able to engineer a ductile Molybdenum called DUMOMET®. Room temperature tensile tests reveal an increased elongation at room temperature for DUMOMET® of over 30%, an ultimate tensile strength of approx. 540MPa and a yield strength of approx. 370MPa. Due to special thermo-mechanical processing the material shows isotropic behavior in all three dimensions. Furthermore, the fracture toughness increases significantly from a low single digit number up to 23MPa√m which qualifies the material also for pressure vessel applications. Bending tests show that the fracture mechanism changes from inter-crystalline for conventional treated Molybdenum to trans-crystalline fracture mode for DUMOMET®.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Ushio's LDP (Laser-assisted Discharge-produced Plasma) EUV source is a plasma EUV source utilizing rotating electrodes, circulating liquid tin, repetitive pulsed high-current discharge up to 10 kHz (15 kW), trigger lasers, and a debris filter to protect the optics from the tin debris emitted from the plasma [1]. The LDP EUV source can offer excellent light performance [2-3] for various applications in the semiconductor manufacturing ecosystems and has been used for Actinic Patterned Mask Inspection (APMI) [4] and beamline applications. Ushio is dedicated to continuous development, particularly performance, reliability and cost-of-ownership (CoO). Our research involved a series of experiments to measure the brightness, power, stability, and fast ions under various discharge conditions. We also implemented a more effective design to the debris filter. Data indicate that this modification will double the collector lifetime without compromising optical transmission. As a result of quality and robustness enhancements of the modules, the source MTBM has reached five weeks and is approaching six weeks in the field.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Laser-plasma dynamics greatly affect the production of in-band light for lithography in EUV sources. To better tune plasma parameters for efficient EUV production and minimize the production of energetic ions, we explore using modeling in preparation for future experiments to develop and validate higher fidelity predictive capability for EUV sources.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.