Open Access
1 July 2020 Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch
Author Affiliations +
Abstract

Background: With aggressive scaling of single-expose (SE) extreme ultraviolet (EUV) lithography to the sub-7-nm node, stochastic variations play a prominent role in defining the lithographic process window (PW). Fluctuations in photon shot noise, absorption, and subsequent chemical reactions can lead to stochastic failure, directly impacting electrical yield.

Aim: Fundamental characterization of the mode and magnitude of these variations is required to define the threshold for failure.

Approach: A complementary series of techniques is enlisted to probe the nature and modulation of stochastic variation in SE EUV patterning. Unbiased line edge roughness (LER), local critical dimension uniformity (LCDU), and defect inspection techniques are employed to monitor the frequency of stochastic variations leading to failures in line/space (L/S) and via patterning.

Results: When characterizing different resists and illumination conditions, there is no change in unbiased LER or via LCDU with increasing critical dimension (CD). Stochastic defect density is correlated with CD for both L/S and via arrays, and there is a strong correlation with L/S electrical yield data.

Conclusions: Traditional 3σ LER and via LCDU measurements are not sensitive enough to define and improve PW. For PW centering and yield improvement, stochastic defect inspection is a necessity.

1.

Introduction

Single-expose (SE) extreme ultraviolet (EUV) lithography suffers from stochastic variations caused by local fluctuations in shot noise and chemical reactions. With the movement to extend SE EUV to more aggressive pitches, these variations result in postdevelop defects, such as resist line bridges or breaks, that negatively impact electrical yield and narrow the size of the process window (PW) as defined by critical dimension (CD).1 Characterization of stochastic defects postdevelop provides early PW centering that correlates to electrical yield parameters, limiting the number of defects that enter downstream processes. Defect-free PW characterization allows for co-optimization of patterning processes and materials, but this cannot be accomplished without a stable test vehicle and robust characterization methodology.2

The lithographic PW is typically characterized using CD measurements on focus exposure matrix (FEM) wafers. Typically, CD-SEM measurements sample a small subset of features in the field of view, usually <100, for PW characterization.3 As the industry drives to smaller CDs and pitch, postdevelop stochastic defects increase exponentially and must be included as a metric for PW definition. To capture stochastic defects, where failure rates are often <106, a larger area must be inspected.4 Detection of one defect in 106 vias would require at least one day of CD-SEM per condition. Obviously, applying inline CD-SEM methodology to stochastic defect detection is not practical, unless failures are marked by other stochastic processes easily observed by CD-SEM metrology.

Like stochastic defects, line edge roughness (LER) and via local critical dimension uniformity (LCDU) are results of local stochastic variations.5,6 Both measurements are nondestructive, and 3σ measurements may be assessed inline without significant impact to throughput. Recent studies have remarked on the predictive nature of via LCDU, but they require the measurement of thousands of vias and offline processing to understand PW contributions beyond 3σ.35 To understand the impact of LER and via LCDU on PW, the sensitivity and predictive nature of these techniques need to be explored further. In this work,7 we compare CD-SEM and e-beam inspection (EBI) characterization methods to define the PW through CD. Using an established back-end of line vehicle, LER, postdevelop defectivity, and yield are compared through CD for 30-nm pitch line/space (L/S) arrays. PW centering based on defectivity data shows an improvement of electrical yield from 50% to 100%. To understand the impact of stochastic variations on vias, via LCDU and defect inspection postdevelop and postetch are compared using 40-nm pitch orthogonal vias. Application of Fractilia’s low-noise, edge detection algorithm to LCDU measurements postdevelop and postetch reveals the contribution of etch to LCDU reduction. These LCDU results are compared directly with EBI data to understand the impact of stochastics on via PW centering.

2.

Methods

For L/S patterning work, a quad stack containing 40 to 100 nm of spin-on carbon, an inorganic hardmask with a thickness of <10  nm, an organic adhesion promoter of 5 to 10 nm, and EUV resist with a thickness of 30 to 40 nm was used. For via patterning, a standard trilayer of 100- to 150-nm spin-on carbon, silicon-containing antireflection coating with a film thickness of <25  nm, and EUV resist with a thickness of 40 to 60 nm was used. Investigation focused on 30-nm pitch L/S and 40-nm pitch orthogonal via arrays, and all experimental results shown here are at those pitches. Initial FEM wafers were analyzed to determine the best focus and appropriate dose ranges for both feature types. The best focus was determined using Bossung curve analysis on isolated features. Wafers were exposed using dose to varying CD at constant mask bias. Dose stripe exposures were performed on a NXE3300B EUV scanner, and wafers were developed with 0.26 N TMAH.

Top-down, uncompressed TIFF images were collected with a Hitachi CG5000 CD-SEM using the imaging conditions shown in Table 1. For LER measurements, an average of 27 features per die and 164 features per dose condition were measured. For LCDU measurements, 294 features per die and 1470 per dose condition were measured. Biased LER and via LCDU measurements were performed with Terminal PC Offline CD Measurement software from Hitachi. Unbiased LER and low-noise via LCDU measurements were conducted using Fractilia MetroLER™ software. Postdevelop EBI was performed with an eP3 inspection tool from Hermes Microvision, Inc. As described in previous work by Meli et. al.,2 L/S patterns were coated with a conformal layer to enhance microbridge detection during EBI postdevelop. Via patterns were inspected postdevelop and postetch with EBI. Electrical characterization was conducted on L/S comb-serpentine structures of varying lengths from 50  μm to 10 cm.

Table 1

Imaging conditions for CD-SEM data collection.

ProcessVoltage (eV)Pixel size x (nm)Pixel size y (nm)Pixel # xPixel # yMagnification (k)
Postdevelop L/S CD5000.880.88512512300
Postdevelop L/S LER5000.805.0512512300×57.2
Postdevelop LCDU5000.660.66512512400
Postetch LCDU8000.660.66512512400

3.

Correlating Stochastic Variations with Electrical Process Window with 30p L/S Structures

3.1.

Experimental Design

This experiment will test how sensitive L/S characterization methods are to changes in resist and illumination conditions. As reported previously,8 two resists and two illumination conditions were tested for 30-nm pitch L/S analysis. The two illuminators used in this study are large σ and small σ variations of a standard leaf-shaped dipole illuminator [Figs. 1(b), 1(c)]. The large σ illuminator is predicted to have marginally better contrast than the small σ illuminator for L/S structures. Resist A and B are both standard L/S chemically amplified resists. Resist B is a higher contrast material and less sensitive than resist A.

Fig. 1

(a) Contrast of dipole illuminators through focus.8 (b) Large σ illuminator. (c) Small σ illuminator.

JM3_19_3_034001_f001.png

3.2.

Postdevelop Defect Inspection Correlates with Electrical Yield Through CD

To predict a failure-free PW at the electrical test, postdevelop stochastic defectivity is first assessed. The main stochastic defects of interest (DOI) are microbridges between lines and breaks in the resist. At lower doses, postdevelop space CD is smaller and more bridging is present, and at larger dose, postdevelop space CD increases, resulting in resist line breaks [Fig. 2(a)]. The log of defect density has a linear relationship to CD within the range probed. Plotting the log (bridges) and log (breaks) versus postdevelop CD denotes the CD for minimum defectivity, where bridges are equal to breaks [Fig. 2(b)]. At aggressive pitch postdevelop, the PW is not always failure-free, and instead the defect minimum is used. To compare between resists and illumination conditions, the total defect density was calculated from the sum of the bridges and breaks; it is shown in Fig. 2(c). When comparing resists, resist B outperformed resist A by almost an order of magnitude. The improvement is seen at larger CDs, where there is a reduction in line opens. Microbridging remains the same between resists. In addition, defect density measurements were able to capture differences between the illuminators. For both resists, the large σ illuminator had lower defect densities than the small σ illuminator. There was a slight shift of the PW to larger CD as well. The difference in defect density and shift in PW minimum is clear for both resists and illumination conditions, allowing for downselection of resist B with the large σ illuminator.

Fig. 2

Stochastic defect density data. (a) Defect Pareto showing resist bridges (blue) versus breaks (orange) for resist B with small σ illuminator. (b) Log (bridges) and log (breaks) data for resist B with small σ illuminator. (c) Normalized log plots of total defect density versus postdevelop CD for both resists and illuminators.

JM3_19_3_034001_f002.png

To understand postdevelop defect density correlation with electrical yield, a comb-serp structure is tested [Fig. 3(a)]. This structure contains two interlocking combs with a wire that serpentines between the combs, allowing for shorts, opens, and composite yield measurements. All tests are conducted using direct current. For evaluation of shorts, both combs are biased at 0 V, both ends of the serp are biased at 0.65 V, and current is measured at the combs. For evaluation of opens, one end of the serp is at ground, the other is biased to 0.65 V, and the current is measured at the grounded end of the serp. One comb-serp of each length on each die was measured, with 5 to 8 die measured per dose. In this structure, the DOI postdevelop and postetch manifest as open and short failures at the electrical test. A resist bridge becomes an electrical open after metal fill [Fig. 3(b)], while a resist break becomes an electrical short [Fig. 3(c)]. In this study, comb-serps of two lengths are highlighted for resist B (Fig. 4).

Fig. 3

(a) Example of a comb-serp macro used for electrical yield test with common stochastic defects. (b) A line bridge postdevelop will lead to an open at the electrical test. (c) A line break postdevelop will result in a short at the electrical test.

JM3_19_3_034001_f003.png

Fig. 4

Comparing defectivity minima to electrical yield maxima. (a) Total defect density versus postdevelop CD for resist B—large and small σ illuminators. (b) Electrical yield % versus postdevelop CD for both illuminators at 1× serp length. (c) Electrical yield % versus postdevelop CD for both illuminators at 10× serp length.

JM3_19_3_034001_f004.png

From the defectivity data for resist B [Fig. 4(a)], we expect a difference in maximum electrical yield between the small and large σ illuminators and a shift to larger CD for best electrical yield for the large σ illuminator. The electrical data for the shorter comb-serp [Fig. 4(b)] show a difference in maximum yield of 20%. Furthermore, the PW for the large σ illuminator is wider and shifted to a larger CD than the small σ illuminator. When comparing the electrical data at 10× the length, the difference is even more pronounced [Fig. 4(c)]. The small σ illuminator PW narrows significantly with a maximum of only 40%. The large σ illuminator yield is almost unchanged with a maximum yield of 100% and similar PW width. When comparing the defectivity and yield data, the predictive power of postdevelop defect inspection is clear. With small improvements to stochastic defectivity postdevelop, the PW is broadened, and electrical yield is improved significantly.

3.3.

Biased versus Unbiased Postdevelop LER as an Indicator of Process Window Through CD

There are some drawbacks to postdevelop defect inspection—it is both time-consuming and destructive. Because of this, we look to another characterization technique with a basis in stochastic variation—LER. Measuring LER is both quick and nondestructive, and it can be accomplished with inline measurements and offline data processing. Our investigation now focuses on the predictive capability of LER and to what extent it varies with stochastic failures and electrical yield.

First and foremost, a comparison of biased and unbiased data is needed. Since postdevelop CD-SEM requires lower landing energies and fewer frames to prevent resist shrink, the images are inherently noisy.9 Biased measurements do not remove noise from an image before applying a measurement algorithm. Using the MetroLER™ algorithm from Fractilia, which measures and removes noise from LER measurements, we measured unbiased 3σ LER from both resists and illuminators to compare with biased data (Fig. 5). The data sets were collected from the same wafers prior to conformal coating used for defect inspection. The biased data show an LER of 2.5 to 3.0  nm and a slight difference in LER of 0.2  nm when comparing resists [Fig. 5(a)]. The overall data show a slight upward trend in LER with an increase in dose. The difference between illuminators is not easily distinguished. For the unbiased data, the CD-SEM noise is removed by MetroLER™, resulting in lower overall LER values for both resists and illuminators [Fig. 5(b)]. More importantly, a clearer difference of 0.6  nm between resists is established. In agreement with the defectivity data, we see improved performance from resist B. Despite the reduction in noise in the unbiased LER data, a difference between illuminators cannot be distinguished for either resists. We are able to downselect a resist, but we cannot predict which illumination condition is best for reduction of stochastic variation.

Fig. 5

Biased versus unbiased LER measurements. (a) Biased measurements show little difference between illumination and resists. (b) Unbiased measurements differentiate between resists but not between illuminators.

JM3_19_3_034001_f005.png

When reviewing the unbiased data for resist B, the unbiased 3σ LER data have no dependency on CD. Even at smaller or larger CDs, where stochastic defects are even more prevalent, the LER does not vary. Though LER is a result of local stochastic variations, it is not as CD dependent as stochastic variations that result in postdevelop defects. From the data collected here, it is evident that unbiased LER cannot be used to define and center the processes for best electrical yield through CD. Defect inspection is required to fully characterize the PW and understand yield detractors.

4.

Stochastic Variations in Orthogonal 40-nm Pitch Vias

4.1.

Experimental Design

This experiment was designed to understand (1) the relationship of LCDU and stochastic defectivity and (2) the sensitivity of each technique to stochastic variation. Two illuminators were employed to pattern 40-nm pitch vias in a square array. Illuminator A was designed to improve LCDU for 40P vias versus illumination B (Fig. 6). The illumination was optimized by simply analyzing which section of the illuminator contributes most to imaging, based on prior work10 that was later extended by Granik.11 Considering the rightmost image in Fig. 6, the sections marked white contribute to image formation of the via array with the aerial image periodic in x,y, as well as along either the 45- or 135-deg diagonals. As such, the white sections are the most valuable parts of the illuminator for image formation. The yellow sections contribute to an image with only two standing waves instead of three for the white section. Parts of illumination B are in the yellow region, which is why this illumination has inferior imaging properties compared with illumination A. The red parts only contribute to imaging in either the x or y direction, while the black center of the illuminator does not provide any image modulation. Illumination A was chosen from this white section of the illuminator on the right and represents the pixelated version that is defined through the flies-eye mirrors of our NXE:3300 scanner. One more step could be taken to improve the image along the narrative described in Ref. 8: subdividing this white section into small and large σ components to reduce possible image shift effects. However, there is a minimum illumination efficiency that has to be met, and reducing the white area of illumination further would be problematic on the NXE:3300. This requirement is relaxed on the NXE:3400, and the experiment could be refined on the new scanner once available.

Fig. 6

Illuminators used for 40-nm pitch orthogonal via exposure: (a) illumination A is designed for improved LCDU over (b) illumination B. (c) The number of image forming diffracted orders that arise from each section of the illuminator for pitches px=py=40  nm: the white section produces an interference image in three directions, yellow in two, red in only one direction, and black produces no image.

JM3_19_3_034001_f006.png

4.2.

Postdevelop Defect Inspection Through CD

Postdevelop inspection of vias reveals two main DOI—missing and merged vias. At small CDs, missing vias are the dominant DOI. At larger CDs, the main DOI are merged vias (aka “kissing” vias). The defect types are plotted on the same scale in Fig. 7 for each illuminator. Illumination A shows fewer missing vias, resulting in a shift in defectivity minimum to a smaller CD of 25  nm [Fig. 7(a)]. Illumination B has a higher minimum, closer to 26-nm postdevelop CD [Fig. 7(b)]. When plotted together, the difference between the defect densities at low dose is clear [Fig. 7(c)]. There is a slight improvement in overall defectivity and slight broadening of the PW with the change in illumination.

Fig. 7

Postdevelop defect densities for 40-nm pitch orthogonal vias. (a) Illumination A—missing versus merged vias. (b) Illumination B—missing versus merged vias. (c) Total defect densities postdevelop for both illuminations.

JM3_19_3_034001_f007.png

4.3.

Postetch Defect Inspection Through CD

Like CD-SEM measurements, defect inspection provides top-down information only—the full topography of a feature or defect is not captured. Postdevelop, there may be undetected scumming at the bottom of vias or trenches that can transfer as a defect during etch.12 Etch may even mitigate some defects. As discussed in the previous section on L/S inspection, a conformal coating was used to decorate such defects and provide a more accurate detection rate, but for vias, this is obviously not an option. To accurately characterize via defectivity and the impact of etch, postetch inspection is a necessity.

As with postdevelop inspection, postetch defects are plotted against CD [Fig. 8). The difference in missing vias between illumination A and B carries through postetch [Figs. 8(a) and 8(b)]. When comparing the total defect densities [Fig. 8(c)], it is clear that the main difference is a reduction in defects at smaller CDs—where missing vias are the main DOI. This allows for development of targeted etch strategies. With a reduction in missing vias, the process could be centered at lower postdevelop CD, and less aggressive etch shrink would be required. Alternatively, since missing vias are reduced at 26-nm postdevelop CD, etch may be targeted to better mitigate merged vias, reducing the overall defect density at that CD.

Fig. 8

Postetch defect densities for 40-nm pitch orthogonal vias. (a) Illumination A—missing versus merged vias. (b) Illumination B—missing versus merged vias. (c) Total defect densities postdevelop for both illuminations.

JM3_19_3_034001_f008.png

4.4.

Low-Noise Via LCDU as an Indicator of Stochastic Defectivity Postdevelop and Postetch

While EBI provides significant information on stochastic defectivity, it is labor-intensive and time-consuming. It would be beneficial to use a quicker characterization method for downselection of process conditions and materials. LCDU has been a key metric and subject of many studies, past and present, on stochastic variation. Recipe setup, data collection, and processing are all simpler for CD-SEM-based recipes, making 3σ LCDU an attractive characterization technique. In this section, we compare the predictive capability of LCDU measurements with EBI postdevelop and postetch. As with LER, we compare two different edge detection strategies to understand the contribution of SEM noise to LCDU—offline measurements using Terminal PC software and the low-noise edge detection algorithm from MetroLER™.

Figure 9 shows the comparison of defect density and LCDU postdevelop. As noted in the previous sections, defect inspection provides a clear understanding of stochastic failures through CD. Compared with defectivity data, 3σ LCDU falls short. These data are in agreement with previous publications that have addressed the shortcomings of 3σ LCDU measurements.35 There is very little difference in LCDU through CD, and the CD at minimum LCDU is unclear given the minor variations throughout the CD range. The low-noise data provide better LCDU overall (0.5  nm improvement), and a PW begins to emerge at larger postdevelop via CDs, but a minimum LCDU for process centering is not apparent. Both data sets show that illumination A improves LCDU, but PW centering is not possible. Unlike defect density data, LCDU does not capture missing vias, which could contribute to the lack of LCDU variation observed at smaller via CD.

Fig. 9

Comparison of defect density to via LCDU postdevelop. (a) Postdevelop defect density. (b) Postdevelop LCDU. (c) Low-noise postdevelop LCDU as determined by MetroLER.

JM3_19_3_034001_f009.png

Postetch LCDU measurements show a similar trend (Fig. 10) that favors illumination A. While there is a sharp PW and a clear difference between illuminators in the defect density data, it is not as clear with postetch LCDU measurements. In this case, however, the postetch data are not as inherently noisy as the postdevelop data. There is not as significant a change in LCDU between measurement algorithms postetch as observed postdevelop. The low-noise data show increased LCDU at very small and large postetch CD, but this would not misdirect process centering. The data at the minimum are almost identical, and the Terminal PC measurement shows a similar, albeit less pronounced, curve.

Fig. 10

Comparison of defect density to via LCDU postetch. (a) Postetch defect density. (b) Postetch LCDU. (c) Low-noise postetch LCDU as determined by MetroLER.

JM3_19_3_034001_f010.png

Another interesting observation can be made in the comparison of the postdevelop and postetch LCDU data (Fig. 11). Here LCDU is plotted against dose to visualize the change in LCDU postdevelop to postetch. With this plot, the contribution of SEM noise to LCDU can be derived. The data gathered from Terminal PC show a delta of 0.6  nm between postdevelop and postetch LCDU [Fig. 11(a)]. The Fractilia low-noise algorithm presents a different result—a delta of only 0.2  nm [Fig. 11(b)]. With similar postetch results between both measurement algorithms, the difference must be from the noise in the postdevelop measurement. This indicates that the contribution of SEM noise to postdevelop LCDU, 0.4  nm, may be substantially larger than the actual improvement to LCDU from etch—0.2 nm. This realization further highlights the importance of accurate characterization techniques.

Fig. 11

Comparison of LCDU and low-noise LCDU as determined by MetroLER normalized to exposure dose. Low-noise data show less LCDU improvement postetch.

JM3_19_3_034001_f011.png

5.

Summary and Conclusions

As SE EUV drives toward minimum feature size, traditional inline CD-SEM metrology measurements, such as 3σ LER and 3σ LCDU, are no longer adequate for full characterization of stochastic PW. This investigation of LER and LCDU realized the importance of unbiased and low-noise measurement, which inflated postdevelop values by 0.6 nm. However, the main detractors of electrical yield—stochastic failures—appear to occur on a different scale than the variations detected by LER and LCDU in this study, though the exact relationship needs further investigation. EBI of stochastic defectivity is sensitive enough to detect minor variations in materials or process conditions, accurately defines PW, and directly correlates to electrical yield. Using EBI inspection results as our key evaluation metric, electrical yield was increased from 50% to 100% when changing illumination sources. For further improvement of SE EUV yield, a complement of techniques is required with defect inspection at the forefront.

Acknowledgments

This work was performed at IBM Research facilities in Albany, New York, in collaboration with Fractilia. The authors would like to thank the extended IBM patterning and characterization teams for experimental support and fruitful discussion.

References

1. 

P. De Bisschop and E. Hendrickx, “Stochastic printing failures in EUV lithography,” Proc. SPIE, 10957 109570E (2019). https://doi.org/10.1117/12.2515082 PSISDG 0277-786X Google Scholar

2. 

L. Meli et al., “Defect detection strategies and process partitioning for single-expose EUV patterning,” J. Micro/Nanolithogr. MEMS MOEMS, 18 (1), 011006 (2018). https://doi.org/10.1117/1.JMM.18.1.011006 Google Scholar

3. 

M. J. Maslow et al., “Impact of local variability on defect-aware process windows,” Proc. SPIE, 10957 109570H (2019). https://doi.org/10.1117/12.2514719 PSISDG 0277-786X Google Scholar

4. 

A. Frommhold et al., “Predicting stochastic defects across the process window,” Proc. SPIE, 11147 1114708 (2019). https://doi.org/10.1117/12.2536898 PSISDG 0277-786X Google Scholar

5. 

R. L. Bristol and M. E. Krysak, “Lithographic stochastics: beyond 3σ,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (2), 023505 (2017). https://doi.org/10.1117/1.JMM.16.2.023505 Google Scholar

6. 

C. A. Mack, “Reducing roughness in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041006 (2018). https://doi.org/10.1117/1.JMM.17.4.041006 Google Scholar

7. 

J. Church et al., “Fundamental characterization of stochastic variation for improved single-expose EUV patterning at aggressive pitch,” Proc. SPIE, 11323 113230O (2020). https://doi.org/10.1117/12.2551487 PSISDG 0277-786X Google Scholar

8. 

M. Burkhardt et al., “Investigation of mask absorber induced image shift in EUV lithography,” Proc. SPIE, 10957 1095710 (2019). https://doi.org/10.1117/12.2515365 PSISDG 0277-786X Google Scholar

9. 

C. A. Mack, F. Van Roey and G. Lorusso, “Unbiased roughness measurements: subtracting out SEM effects, part 3,” Proc. SPIE, 10959 109590P (2019). https://doi.org/10.1117/12.2515898 PSISDG 0277-786X Google Scholar

10. 

M. Burkhardt et al., “Illuminator designs for the printing of regular contact patterns,” Microelectron. Eng., 41–42 91 –95 (1998). https://doi.org/10.1016/S0167-9317(98)00020-3 MIENEF 0167-9317 Google Scholar

11. 

Y. Granik, “Source optimization for image fidelity and throughput,” J. Microlithogr. Microfabr. Microsyst., 3 (4), 509 –522 (2004). https://doi.org/10.1117/1.1794708 Google Scholar

12. 

A. De Silva et al., “Fundamentals of resist stochastics effect for single-expose EUV patterning,” Proc. SPIE, 10957 109570F (2019). https://doi.org/10.1117/12.2515926 PSISDG 0277-786X Google Scholar

Biography

Jennifer Church received her Bachelor of Science degree in chemistry from the University of Massachusetts Amherst in 2012 and her PhD in chemistry and chemical biology from Renssellaer Polytechnic Institute in 2017. She joined IBM in 2018 as a postdoc working in EUV patterning. In 2019 she started as an advisory engineer/scientist, developing EUV lithography and characterization with a focus on EUV stochastics. Her work applies various characterization methods to understand the modulation of stochastic events by different processes and materials.

Biographies of the other authors are not available.

© 2020 Society of Photo-Optical Instrumentation Engineers (SPIE) 1932-5150/2020/$28.00 © 2020 SPIE
Jennifer Church, Luciana Meli, Jing Guo, Martin Burkhardt, Chris A. Mack, Anuja De Silva, Karen E. Petrillo, Mary A. Breton, Ravi K. Bonam, Romain Lallement, Eric R. Miller, Brad Austin, Shravan Matham, and Nelson M. Felix "Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch," Journal of Micro/Nanolithography, MEMS, and MOEMS 19(3), 034001 (1 July 2020). https://doi.org/10.1117/1.JMM.19.3.034001
Received: 25 March 2020; Accepted: 16 June 2020; Published: 1 July 2020
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Stochastic processes

Fiber optic illuminators

Line edge roughness

Critical dimension metrology

Defect inspection

Extreme ultraviolet

Inspection

Back to Top