PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Abbe’s reasoning behind his expression of the resolution limit can be seen from his 1876 letter to J. W. Stephenson. Helmholtz came up with the same resolution limit expression almost at the same time as Abbe in another way. The numerical aperture (NA ≡ n sin θ) part of the expression is a consequence of the sine condition, which must be fulfilled for aplanatic imaging.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Linewidth roughness (LWR) remains a difficult challenge for improvement in resist materials. We intend to review work that focused on the impact of key components of LWR by analyzing the unbiased power spectral density (PSD) curves. We studied systematic changes to ArF resist formulations and correlated these changes to the overall PSD curves. In this manner, we could extract LWR 3σ values and resist correlation length and the low/high-frequency roughness components. We also investigated the relationship between PSD and LWR through lithographic/etch processing and demonstrated which PSD components correspond to the largest impact on LWR. This work was extended further to investigate how frequency components are influenced by basic resist properties such as diffusion and aerial image properties such as normalized image log-slope (NILS). Particular attention was given to how changes in correlation length affected LWR as feature size decreases. We also looked at the impact of diffusion or resist blur on PSD(0) as a function of NILS. Finally, we will review how LWR improvement can be achieved by several strategies that focus on both PSD(0) and correlation length (ξ) and not a single LWR number. The trends presented highlight the true nature of LWR with respect to its high and low-frequency components. It also shows the benefits of measuring and developing resists as a function of roughness power spectral density and not as a function of a single LWR measurement.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: As semiconductor technologies continue to shrink, optical proximity correction may not have enough space to optimize layout due to limitations from adjacent layers. Lithography friendly design (LFD) becomes a powerful tool to detect potential lithography yield killers for fabless side from 14-nm technology node and beyond. Design layout can be modified before tape-out to avoid future rework. However, huge runtime is the bottleneck of LFD.
Aim: Our paper puts forward an innovative layout decomposing algorithm to accelerate LFD at full-chip level.
Approach: The proposed projection-based high coverage fast (PBHCF) LFD layout decomposing algorithm partitions the full-chip layout as a set of unique patterns. The simulation runtime can be reduced by only simulating every unique pattern and corresponding optical interaction range in full chip. The LFD hotspots will be classified, analyzed, and repaired by pattern matching in batches for full-chip layout.
Results: The experiments compare hotspot accuracies and prediction speeds of proposed PBHCF LFD and the most commonly used accelerated algorithm, Smart LFD, for different layouts at chip level for metal 2 layer of 12-nm technology node with pure unidirectional routings. On one hand, the average accuracy of PBHCF LFD can achieve 97.07%, improving 3.4% than Smart LFD on average. On the other hand, PBHCF LFD improves the average prediction speed over regular LFD 19.51%. And the PBHCF LFD is faster than Smart LFD by 5.96%.
Conclusions: PBHCF LFD achieves higher accuracy and less runtime than Smart LFD. The verification experiments conducted on layouts at chip level show the feasibility of the proposed methodology.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
In the past years, EUV lithography scanner systems have entered high-volume manufacturing for state-of-the-art integrated circuits (IC), with critical dimensions down to 10 nm. This technology uses 13.5-nm EUV radiation, which is transmitted through a near-vacuum H2 background gas, imaging the pattern of a reticle onto a wafer. The energetic EUV photons excite the background gas into a low-density H2 plasma. The resulting plasma will locally change the near-vacuum into a conducting medium and can charge floating surfaces and particles, also away from the direct EUV beam. We will discuss the interaction between EUV-induced plasma and electrostatics, by modeling and experiments. We show that the EUV-induced plasma can trigger discharges well below the classical Paschen limit. Furthermore, we demonstrate the charging effect of the EUV plasma on both particles and surfaces. Uncontrolled, this can lead to unacceptably high voltages on the reticle backside and the generation and transport of particles. We demonstrate a special unloading sequence to use the EUV-induced plasma to actively solve the charging and defectivity challenges.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Even with the increase in need for next-generation lithography, immersion ArF lithography is still applied to the majority of critical layers. However, as circuit designs shrink, conventional 6% phase-shift mask (PSM) will become difficult to meet the lithography requirements for dense dot pattern compared to dense line pattern. To enhance immersion ArF lithographic performances for dot pattern, high-transmission PSM (High-T PSM) is attracting attention because the transmission of PSM has a significant impact on lithographic performances. From results of transmission dependency evaluated by mask three-dimensional (3D) simulation, it was found that 30% transmission has the best lithographic performances for dense dot. Based on these results, mask blank and mask making process for the new 30% PSM were developed. The results showed good cross-section profile, mask pattern resolution, and defect repairability. In addition, the durability against chemical cleaning and ArF irradiation were also improved. Wafer printability test using negative tone development demonstrated that new PSM has advantages in process window and mask error enhancement factor for dense dots (holes on wafer). Finally, the potential for further application of new PSM was investigated by mask 3D simulation. The results showed that new PSM has lithographic benefits not only for dense dots but also other patterns.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Physical modeling of grayscale lithography processes for the prediction of photoresist heights leads to complex mathematical algorithms. A promiment example is the numerical simulation of the photoresist shape after development through Dill’s equations. These grayscale lithography models exhibit accurate prediction quality but can not directly implemented into mask layout tools to simplify the layout procedure. Limited process windows, changes in the mask design, variations of the used materials or manufacturing tools lead to time-consuming and cost-intensive test procedures to adjust the photoresist model for sufficient results.
Aim: The focus of this work is to enhance current grayscale lithography models for a straightforward method with the same precise prediction of remaining photoresist heights to simplify the mask layout process. Moreover, we aim for an uncomplicated optimization of the model to minimize the empirical analysis necessary for its use.
Approach: Based on experimental results, we deploy a sectionally defined mathematical expression that includes the theory of Fraunhofer diffraction and illumination-dependent activation of the photo-sensitive component and its solubility in developer.
Results: We produced pyramidal, spherical and chess field structures with exposure doses of 3000 and 15 , 000 J / m2 on bare silicon substrates with 100-nm resolution and on silicon substrates with anti-reflective coatings, with accuracy as fine as 20 nm.
Conclusion: The proposed three-state lithography model has been verified by experimental evaluation. It is able to operate in a wide process window and can be directly implemented in existing mask layout software. This model ensures a cost-efficient and precisely controlled production of three-dimensional topographies using grayscale lithography processes.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Negative-tone development (NTD) photoresists are prone to shrinkage effects during lithographic processing. Along with deformation seen during the postexposure bake (PEB), there are additional effects during the development that cannot be fully explained by a conventional PEB shrinkage model alone.
Aim: Understand the impact of PEB shrinkage on the development rate. Develop a model that can help predict resist profiles after chemical development.
Approach: A PEB shrinkage model for NTD resists is introduced, which uses the thermal properties of the resist material to help simulate shrinkage. The deformed state of the resist is used as an input to the development rate equation to predict the final feature dimensions observed in experiments.
Results: The strain concentration within the resist bulk can have an influence on the stability of the resist during the development. The strain influences the development rate depending on the resist feature shape and contours.
Conclusions: The results from this study can help improve optical proximity correction (OPC) modeling performance and help better understand the deformation characteristics of NTD resist materials. The model also shows that the development shrinkage has an influence on the edge placement error.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Background: Stochastic printing failures, manifested as random defects in a patterned photoresist image, result from statistical fluctuations in photon flux and resist components and are a key issue confronting extreme ultraviolet (EUV) lithography. Empirical data indicate that photoresist composition and processing influence stochastic printing failure rates.
Aim: To devise a simple and flexible model framework for assessing how changes in photoresist composition and imaging chemistry can be expected to impact the frequency of stochastic printing failures
Approach: A simple physicochemical description based solely on resist component and photon statistics is combined with combinatorial calculations of resist imaging chemistry and Monte Carlo analysis to estimate rates of random printing failures.
Results: This model yields results consistent with experimental observations. The method is applied to predict impacts of resist formulation, composition, and process changes on the rates of stochastic printing failures.
Conclusions: This approach provides rapid assessment of the relative impact of resist materials and process modifications and is useful as a tool to advance EUV photoresist design.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
Organometallic photoresists are being pursued as an alternative photoresist material to push the current extreme ultraviolet lithography (EUVL) to the next generation of high-NA EUVL. In order to improve the photoresist performance, an understanding of the photoresist’s response to different process conditions is required. In this endeavor, a stochastic development model is implemented, integrated into full photoresist process steps, and applied for photoresist performance investigations. The model is applied to Inpria-YA photoresist, which works mainly by the process of aggregation. Previously published modeling approaches for metal-organic photoresists assume that the development characteristics of these materials depend only on the size of the created oxo-clusters. In contrast to that, we propose a modeling approach that provides a more detailed description of the interaction among the developer, ligands, and oxo-bonds. Further, the calibration procedures conducted to extract the model parameters to match experimental data are discussed. The model approximated the experimental data with CD RMSE and LWR RMSE of 0.60 and 0.40 nm, respectively. We also investigated the impact of photoresist parameters on the process metrics, line width roughness (LWR), critical dimension (CD), dose-to-size (DtS), and exposure latitude (EL) with the calibrated model. The investigation shows that details of the interaction of photoresist and developer, especially, the so-called development critical value, have a significant impact on the LWR and DtS.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
The attachment of dopant precursor molecules to depassivated areas of hydrogen-terminated silicon templated with a scanning tunneling microscope (STM) has been used to create electronic devices with subnanometer precision, typically for quantum physics experiments. This process, which we call atomic precision advanced manufacturing (APAM), dopes silicon beyond the solid-solubility limit and produces electrical and optical characteristics that may also be useful for microelectronic and plasmonic applications. However, scanned probe lithography lacks the throughput required to develop more sophisticated applications. Here, we demonstrate and characterize an APAM device workflow where scanned probe lithography of the atomic layer resist has been replaced by photolithography. An ultraviolet laser is shown to locally and controllably heat silicon above the temperature required for hydrogen depassivation on a nanosecond timescale, a process resistant to under- and overexposure. STM images indicate a narrow range of energy density where the surface is both depassivated and undamaged. Modeling that accounts for photothermal heating and the subsequent hydrogen desorption kinetics suggests that the silicon surface temperatures reached in our patterning process exceed those required for hydrogen removal in temperature-programmed desorption experiments. A phosphorus-doped van der Pauw structure made by sequentially photodepassivating a predefined area and then exposing it to phosphine is found to have a similar mobility and higher carrier density compared with devices patterned by STM. Lastly, it is also demonstrated that photodepassivation and precursor exposure steps may be performed concomitantly, a potential route to enabling APAM outside of ultrahigh vacuum.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.