In the absence of EUV pellicles, EUV masks need to be cleaned frequently. Even after the implementation of the pellicles, EUV masks need to be cleaned multiple times. When pellicle studs need to be removed, aggressive cleaning recipes are required to remove glue from the surface mask. Therefore, surfaces in contact with chemicals during mask cleaning should be stable against aggressive, acidic and basic chemicals. In addition to, chemical durability, EUV masks are repeatedly exposed to EUV and UV radiation. In particular, with increasing the EUV source power, it is expected that EUV mask surface be exposed to EUV light with energy densities > 5 W/cm2 as well as out-of-band radiation in UV region. Such high energy radiation can oxidize Ru cap layer or promote Ruthenium silicide formation under the capping layer. Such mechanism can result in Ruthenium peel –off by multiple cleaning of EUV masks. When acidic chemistries are used to remove particles from pattern EUV masks, absorber layer can be etched and as a result mask CD will change by multiple cleanings. During the chucking process in an EUV scanner, there might be dents form in the backside conductive layer (e.g. CrN) which results in thinning backside conductive layer in the certain areas. Meantime, more aggressive megasonic cleaning is required to remove micron size particles from the backside of the EUV masks. Combination of multiple chucking, dent formation and aggressive cleaning may result in damage in the backside film. Finally, in the EUV masks with OPC sub-resolution-assist-feature (SRAF) can be easily damaged by megasonic during cleaning.
This paper will discuss cleaning durability challenges for sub 10 nm half pitch nodes when high power EUV sources expect to be used. In particular, we present our latest results of multiple cleaning of Applied Materials blanks with conventional cleaning chemistries. The change in the EUV reflectivity of Ru cap multilayers by 100x cleaning will be presented. Impact of cleaning on conventional absorber (TaN) and newly developed thin absorber films in Applied Materials will be discussed. The impact of the cleaning processes on the substrate and CrN backside conductive film will be presented. Multiple surface characterization techniques will be used for study of cleaning impact on different films.
Particle contamination in ultra-pure water (UPW) and chemicals will eventually end up on the surface of a wafer and may result in killer defects. To improve the semiconductor processing yield in sub-10 nm half pitch nodes, it is necessary to control particle defectivity. In a systematic study of all major techniques for particle detection, counting, and sizing in solutions, we have shown that there is a gap in the required particle metrology which needs to be addressed by the industry. To reduce particles in solutions and improve filter retention for sub-10 nm particles with very low densities (<10 particles/mL), liquid particle counters that are able to detect small particles at low densities are required. Non-volatile residues in chemicals and UPW can result in nanoparticles. Measuring absolute non-volatile residues in UPW with concentrations in the ppb range is a challenge. However, by using energy-dispersive spectroscopy (EDS) analysis through transmission electron microscopy (TEM) of non-volatile residues we found silica both in dissolved and colloidal particle form which is present in one of the cleanest UPW that we tested. A particle capture/release technique was developed at SEMATECH which is able to collect particles from UPW and release them in a controlled manner.
Using this system we showed sub-10 nm particles are present in UPW. In addition to colloidal silica, agglomerated carbon containing particles were also found in UPW.
Multiple challenges, including the availability of a reliable high power source, defect free mask, and proper resist material, have forced extreme ultraviolet (EUV) lithography to be considered for sub-10 nm half-pitch nodes. Therefore, techniques such as phase shift masks (PSMs) or high numerical aperture (NA) lithography might be considered. Such techniques require thin EUV absorber materials to be optimized to reduce EUV mask shadowing effects. Despite the challenges in dry etching of Ni and finding proper chemistries with a high etch selectivity to suitable capping materials, we decided to examine the chemical stability of Ni for existing mask cleaning chemistries. Ni, after Ag, has the highest absorption in EUV light at λ = 13.5 nm, which makes it a proper candidate—in pure form or in mixing with other elements—for thin absorber film. Depending on the composition of the final material, proper integration schemes will be developed. We studied Ni stability in commonly used mask cleaning processes based on ammonium hydroxide/ hydrogen peroxide (APM) and water mixtures. Ni films deposited with an ion beam deposition technique with a thickness of 35 nm are sufficient to totally absorb EUV light at λ = 13.5 nm. Multiple cleanings of these Ni films resulted in Ni oxidation— confirmed by time-of-flight secondary ion mass spectroscopy (TOF-SIMS) analysis as NiO with thickness about 1.5 nm. Furthermore, Ni oxidation processes are self-limiting and oxide layer thickness did not increase with a further cleaning. A three minute exposure to sulfuric acid/hydrogen peroxide mixture (SPM) can remove NiO and Ni totally. To protect Ni film from etching by SPM chemistry a 3 nm Si capping was used on top of Ni film. However, Si capping was removed by APM chemistry and could not protect Ni film against SPM chemistry. TiO2 may be a very good capping layer for EUV optics but it is not suitable for EUV mask blanks and will be removed by APM chemistries.
Better understanding of the effect of radiation on defectivity is essential to improve the stability of Ru-capped MoSi
multilayer blanks. In this work, the effect of radiation exposure on the surface adhesion properties of Ru-capped MoSi multilayers was studied using optical radiation (172 nm, 532 nm, and 1064 nm). Regardless of wavelength, the surface adhesion of defects increases when exposed to radiation and scales with laser power. Changes in adhesion are compared to surface roughness. For different wavelengths, chemical modification of the surface and optical absorption of defects exhibit different contributions.
Because EUV masks lack of a pellicle, they are prone to particle contamination and must be cleaned frequently. Despite the relatively good resistance of the TaN absorber lines to pattern damage by megasonic cleaning, the Ru cap can be easily damaged by it. We demonstrate that the type and concentration of the dissolved gas are critical factors in determining the cavitation that eventually introduces pits on the surface of Ru-capped multilayer films. In particular, oxygen creates many more pits than CO2 under similar conditions. In this paper, we present the results of SEMATECH’s extensive experimental studies of pit creation on Ru-capped multilayer EUV blanks by megasonics as a function of acoustic field power, gas type and concentration in ultra-pure water, and chemicals during sonication.
EUV mask defectivity is one of the challenges of realizing EUV lithography. EUV mask defects are a combination of
substrate, multilayer blank, and absorber patterning defects. Each defect on the substrate or blank may be able to print
depending on different factors. Therefore, at every stage of EUV mask manufacturing, care must be taken to control
defectivity. This paper reviews EUV mask defectivity during manufacturing and use. Principles involved in EUV defect
detection and sizing are discussed. With EUV, examining defects in a two dimensional (2D) space where defect
detection can be correlated with defect printability predictions is most useful. To determine the critical defect size on a
multilayer, existing printability prediction modeling can be used. However to calculate defect size on a substrate,
detailed information about the multilayer deposition process is needed. Defects < 2 nm deep with a full width half
maximum (FWHM) < 20 nm on the substrate will be completely smoothed by the current multilayer deposition
processes in use at SEMATECH. Defects > 2 nm deep with a FWHM < 20 nm after multilayer deposition become wider
but their depth remains constant (0.6 nm) regardless of their width on the substrate. Cleaning-induced pits will contribute
to both low thermal expansion material (LTEM) and Ru-capped multilayer blank defectivity. Particles added by the
cleaning tool and processes are another key contributor to EUV mask, blank and substrate defectivity. Changes in EUV
reflectivity due to multiple cleanings are likewise critical. Cleaning chemistries will also etch the absorber lines and antireflecting
coatings (ARCs), which in turn will alter the mask critical dimensions (CDs). Finally, cleaning the mask may
increase its surface roughness, which may change the line edge roughness (LER).
During their usage and fabrication, EUV masks are exposed to light radiation from λ=13.5 nm up to infrared
wavelengths. During EUV exposure, masks are not only exposed to 13.5 nm radiation but also to out-of-band radiation
which expands from λ=140 to 600 nm for a long period of time. The mask surface is also exposed to different chemicals
during cleaning processes, depending on the usage of the mask. During its effective life, an EUV mask should undergo
many cycles of cleaning and radiation. Consequently, the Ru surface is modified by photon energy (wavelength) as well
as number of photons (intensity and energy). This modified Ru surface will react with chemicals in different ways.
Exposure to 172 nm light followed by Ammonium Hydroxide/ Hydrogen peroxide/ water mixture (APM) will result in
0.5% loss of EUV light while 172nm light exposure followed by Sulfuric acid /Hydrogen peroxide mixture (SPM) will
reduce EUV reflectivity by 3%. Higher radiation energy on the order of 200 Joules will damage the Ru surface and cause
increased defectivity at the mask surface. In addition, higher radiation energies will result in thermal effects such as
formation of Ru silicide and Mo silicide. Ru oxidation valence also depends on the radiation power and radiation
wavelength. In the absence of radiation or low energy radiation, RuO3 is preferred oxidation state but RuO is preferred in
the higher radiation energies. Comparison between 532 nm and 1064 nm radiation showed that RuO2 is the preferred
oxidation state at a wavelength of 532 nm, despite much lower radiation power.
Despite significant progress in the commercialization of extreme ultraviolet (EUV) lithography, many important
challenges remain, including in the area of masks. The issue of EUV phase roughness that can arise from either
multilayer or capping layer roughness has recently garnered increasing concern. The problem with mask phase
roughness is that it couples line-edge roughness (LER) through the formation of image plane speckle. The coupling from
phase roughness to LER depends on many factors including roughness magnitude, roughness correlation length,
illumination partial coherence, aberrations, defocus, and numerical aperture. Analysis shows that only on the order of 50
pm multilayer roughness may be tolerable at the 22-nm half-pitch node. Results also show that Atomic Force
Microscopy (AFM) may not be a suitable method for measuring mask phase roughness due to its sensitivity to the
surface only. Capping layer roughness is another significant concern especially given that it has been shown to increase
with cleaning cycles. In this case, however, AFM does provide a reasonable metric.
EUV lithography (EUVL) is considered the most attractive solution for semiconductor device manufacturing
beyond the 22nm half-pitch node. In EUVL, one of the greatest challenges is the lack of a pellicle, which makes EUV
masks prone to particle contamination. Therefore, mask cleaning plays an important role in keeping masks clean during
both fabrication in the mask shop and usage in the wafer fab. According to the International Technology Roadmap for
Semiconductors (ITRS), in 2013 mask cleaning processes should remove all defects larger than 25nm without damaging
78nm and smaller patterns for the 23nm Flash half-pitch node [1]. In addition to contamination concerns, EUV masks
introduce new materials and a multilayer structure that is different from the Cr on glass used in traditional optical masks.
Physical forces applied by megasonic cleaning to remove particles on an optical mask could damage EUV mask patterns.
Thus, it is important to determine the magnitude of the physical forces that can break absorber patterns (TaN or TaBN)
from the surface of a Ru-capped MoSi multilayer film. The adhesion of particles of interest to the Ru-capped multilayer
should also be measured. In the complex structure of an EUV mask, adhesion forces of particles on the top surface are
modified by the different layers beneath the Ru. Hence, it is crucial to directly measure the force required to remove
particles and break absorber patterns on EUV mask surfaces to determine the process window for applicable cleaning
forces.
We used scanning probe microscopy (SPM) to quantify these forces. The SPM probe was precisely controlled to
remove particles and break patterns on Ru-capped EUV mask blanks. While being manipulated, the deflection signals of
the probe were monitored and then converted to forces using a simple beam model.
In this paper, we present the measured breakage forces for absorber patterns as a function of their size and
compare them with removal forces for 50nm and 100nm SiO2 and polystyrenelatex (PSL) particles. Based on these data
and our analysis, we will demonstrate a process window for physical force that can successfully clean EUV masks
beyond the 16nm half-pitch node.
KEYWORDS: Photomasks, Quartz, Monte Carlo methods, Extreme ultraviolet lithography, Scanning electron microscopy, Extreme ultraviolet, Multilayers, Electron beam lithography, Lithography, Inspection
A mask inspection review of pattern features and defects is normally carried out using a secondary electron microscopy technique. Ideally, such mask inspection reviews should be nondestructive; nonetheless, as reported in this paper, high-dose exposures of extreme-ultraviolet mask surfaces have resulted in significant topographical changes, which were revealed by topographical mapping of reviewed masks using atomic force microscopy. Exposures with current densities of 1 mA/cm2 and higher resulted in the formation of topographical features in and around the scanned region on mask surfaces. On the Ru-capped multilayer blanks, the topographies consisted of small or absent depressions surrounded by ridges, which were attributed to secondary-electron-emission induced hydrocarbon deposition. On the chromium-nitride backsides, the topographies were usually simple depressions, although sometimes ridges were observed. The depressions were attributed to volume compaction in the substrate, and were observed for all four mask surfaces studied, substrate compaction took place with both quartz and low thermal expansion material substrates. The height range of the topography extended up to 25 nm, whereas the lateral dimensions often exceeded the scanned area by about a micrometer. While these lateral extensions could not be explained by either beam-induced heating or stress relief, Monte Carlo simulations showed that it could be explained qualitatively by the size of the region within which the energy deposition had taken place. This interpretation suggests that the current understanding as described by Hau-Riege qualitatively describes our observations related to depression topography.
KEYWORDS: Scanning electron microscopy, Quartz, Monte Carlo methods, Multilayers, Inspection, Atomic force microscopy, Particles, Extreme ultraviolet lithography, Electron beams, Contamination
Mask inspection review of pattern features and defects is normally carried out using a secondary electron microscopy
(SEM) technique. Ideally, such mask inspections reviews should be non-destructive; nonetheless, as reported in this
paper, high-dose exposures of EUVL mask surfaces have resulted in significant topographical changes, which were
revealed by topographical mapping of reviewed masks using atomic force microscopy (AFM). Exposures with current
densities of 1 mA/cm2 and higher resulted in the formation of topographical features in and around the scanned region on
mask surfaces. On the Ru-capped multilayer blanks, the topographies consisted of small or absent depressions
surrounded by ridges, which were attributed to secondary-electron-emission induced hydrocarbon deposition. On the
chromium-nitride backsides, the topographies were usually simple depressions - although sometimes ridges were
observed. The depressions were attributed to volume compaction in the substrate. The depressions were attributed to
volume compaction in the substrate, and were observed for all for mask surfaces studied - substrate compaction took
place with both quartz and LTEM substrates.
The height range of the topography extended up to 25 nm, whereas the lateral dimensions often exceeded the scanned
area by about a micron. While these lateral extensions could not be explained by either beam-induced heating or stress
relief, Monte-Carlo simulations showed that it could be explained qualitatively by the size of the region within which the
energy deposition had taken place. This interpretation suggests that the current understanding as described by Hau-
Riege qualitatively describe our observations related to depression topography.
The defectivity of EUV mask blanks remains as one of the key challenges in EUV lithography. Mask blank defects are a
combination of defects or particles added on the substrate, added during MoSi multilayer deposition, and during
subsequent handling.
A recent upgrade to the Lasertec M7360 at SEMATECH has enabled us to detect new defects (sub-30 nm SEVD
(Sphere Equivalent Volume Diameter)) on the substrate that were not previously detectable. In this paper, we report our
recent investigation of defects on low thermal expansion material (LTEM) substrates and their creation and removal.
Data obtained with atomic force microscope (AFM) imaging of defect topography, scanning electron
microscope/energy-dispersive spectroscopy (SEM/EDS), and Auger characterization of defect composition is also
discussed.
Cleaning of mask particles which may have been added by handling in a clean room environment with the ASML Alpha
Demo Tool (ADT) with and without static EUV exposure is discussed. Particle contamination on the backside of EUV
masks can potentially impact overlay or focus during exposure. We have developed cleaning processes capable of
removing backside defects without contaminating the front side of the masks. Backside defects are characterized by
AFM, SEM/EDS, and auger microscopy and their topography and composition are presented.
The particle removal efficiency (PRE) of cleaning processes diminishes whenever the minimum defect size for a specific
technology node becomes smaller. For the sub-22 nm half-pitch (HP) node, it was demonstrated that exposure to high
power megasonic up to 200 W/cm2 did not damage 60 nm wide TaBN absorber lines corresponding to the 16 nm HP
node on wafer. An ammonium hydroxide mixture and megasonics removes ≥50 nm SiO2 particles with a very high PRE.
A sulfuric acid hydrogen peroxide mixture (SPM) in addition to ammonium hydroxide mixture (APM) and megasonic is
required to remove ≥28 nm SiO2 particles with a high PRE. Time-of-flight secondary ion mass spectroscopy (TOFSIMS)
studies show that the presence of O2 during a vacuum ultraviolet (VUV) (λ=172 nm) surface conditioning step will result
in both surface oxidation and Ru removal, which drastically reduce extreme ultraviolet (EUV) mask life time under
multiple cleanings. New EUV mask cleaning processes show negligible or no EUV reflectivity loss and no increase in
surface roughness after up to 15 cleaning cycles. Reviewing of defect with a high current density scanning electron
microscope (SEM) drastically reduces PRE and deforms SiO2 particles. 28 nm SiO2 particles on EUV masks age very
fast and will deform over time. Care must be taken when reviewing EUV mask defects by SEM. Potentially new
particles should be identified to calibrate short wavelength inspection tools. Based on actinic image review, 50 nm SiO2
particles on top of the EUV mask will be printed on the wafer.
Reducing mask blank and patterned mask defects is the number one challenge for extreme ultraviolet lithography. If the
industry succeeds in reducing mask blank defects at the required rate of 10X every year for the next 2-3 years to meet
high volume manufacturing defect requirements, new inspection and review tool capabilities will soon be needed to
support this goal. This paper outlines the defect inspection and review tool technical requirements and suggests
development plans to achieve pilot line readiness in 2011/12 and high volume manufacturing readiness in 2013. The
technical specifications, tooling scenarios, and development plans were produced by a SEMATECH-led technical
working group with broad industry participation from material suppliers, tool suppliers, mask houses, integrated device
manufacturers, and consortia. The paper summarizes this technical working group's assessment of existing blank and
mask inspection/review infrastructure capabilities to support pilot line introduction and outlines infrastructure
development requirements and tooling strategies to support high volume manufacturing.
Naturally occurring sub 30 nm defects on quartz and Low Thermal Expansion Material (LTEM) substrates were characterized by using Atomic Force Microscope(AFM). Our data indicates that a majority of defects on the incoming substrate are hard defects including large, flat particles with a height less than 5 nm, tiny particles with a size of 10 nm to 30 nm SEVD and pits with a depth of about 9 nm. All the soft particles added by handling with sizes of >50 nm can be removed with a single cleaning process. At least four cleaning cycles are required to remove all of the remaining embedded particles. However, after particle removal in their initial location a shallow pit remains. Based on detailed characterization of defect and surface by AFM, we propose that these hard particles are added during the glass polishing step and therefore it is important to revisit the glass Chemical Mechanical Polishing (CMP) processes and optimize them for defect reduction. A qualitative value for particle removal efficiency (PRE) of >99% was obtained for 20 nm Poly Styrene Latex Sphere (PSL) deposited particles on surface of glass.
Defect free masks are a critical component to enable extreme ultraviolet lithography (EUVL). It is projected EUVL will
be inserted for the 22nm hp node with a timeframe of 2012-2013 for leading IC manufacturers. To meet the goal of
defect free masks, a concerted effort is required with emphasis on mask blank development and mask infrastructure
readiness. With this in mind, SEMATECH mask program has been uniquely positioned to make important contributions
to these areas. Together with several partners, an overall strategy has been defined focused on meeting EUVL mask
requirements including setting mask standards and enabling the mask-making infrastructure. This paper will highlight
the overview of key projects and accomplishments from the mask blank development program. It is critical that
SEMATECH and its partners be ready to meet the overall pilot line defect density requirement of 0.04 defects/cm2 at
18nm defect sensitivity by the end of 2010. Although important progress has been made, much work remains to meet
these challenging goals.
As we approach the 22nm half-pitch (hp) technology node, the industry is rapidly running out of patterning options. Of
the several lithography techniques highlighted in the International Technology Roadmap for Semiconductors (ITRS), the
leading contender for the 22nm hp insertion is extreme ultraviolet lithography (EUVL). Despite recent advances with
EUV resist and improvements in source power, achieving defect free EUV mask blank and enabling the EUV mask
infrastructure still remain critical issues. To meet the desired EUV high volume manufacturing (HVM) insertion target
date of 2013, these obstacles must be resolved on a timely bases. Many of the EUV mask related challenges remain in
the pre-competitive stage and a collaborative industry based consortia, such as SEMATECH can play an important role
to enable the EUVL landscape. SEMATECH based in Albany, NY is an international consortium representing several of
the largest manufacturers in the semiconductor market. Full members include Intel, Samsung, AMD, IBM, Panasonic,
HP, TI, UMC, CNSE (College of Nanoscience and Engineering), and Fuller Road Management. Within the
SEMATECH lithography division a major thrust is centered on enabling the EUVL ecosystem from mask development,
EUV resist development and addressing EUV manufacturability concerns. An important area of focus for the
SEMATECH mask program has been the Mask Blank Development Center (MBDC). At the MBDC key issues in EUV
blank development such as defect reduction and inspection capabilities are actively pursued together with research
partners, key suppliers and member companies. In addition the mask program continues a successful track record of
working with the mask community to manage and fund critical mask tools programs. This paper will highlight recent
status of mask projects and longer term strategic direction at the MBDC. It is important that mask technology be ready to
support pilot line development HVM by 2013. In several areas progress has been made but a continued collaborative
effort will be needed along with timely infrastructure investments to meet these challenging goals.
Defect smoothing is a critical need for improving defects. There are different methods such as using a smoothing layer
or multilayer deposition; however, smoothing processes tend to add defects of their own to the surface. This paper
presents a novel pit smoothing method based on an anisotropic substrate etch process. Smoothing power is defined as a
metric for comparing the smoothing capability of different smoothing processes. Defect smoothing by cleaning is a
surface modification technique with a smoothing power <10 that does not add defects to the surface. This is
demonstrated by comparing total defects on the mask blank and mask blank substrate for two processes: a standard
ozone-based cleaning and a smoothing cleaning. The smooth/clean methods led to fewer defects on the blank and
substrate surfaces than the standard clean while still meeting extreme ultraviolet (EUV) blank roughness requirements.
Finally, it is shown that smoothed pits are still printable. Therefore, further improvements to the smoothing power of
smooth/clean processes are needed. SEMATECH is currently working to improve smooth/clean processes for low
thermal expansion material (LTEM) EUV substrates.
Defects are still one of the main challenges of extreme ultraviolet (EUV) mask blanks. In particular, a majority(~75%) of
substrate defects are nanometer size pits. These pits are usually created during final surface polishing of the synthetic,
quartz glass substrates. This study presents data that indicates cleaning may also induce pits in the substrate surface.
These pits are typically 20 nm and larger, and are contained in a circular area on the surface, which is scanned by a
megasonic nozzle during cleaning. Concentrated collapse of cavitation bubbles in the areas scanned by megasonic is
expected to be one of the main mechanisms of pit creation. The data indicates the existence of a hard surface layer with
an estimated thickness of approximately 30 to 60 nm, which is resistive to pit creation. After this layer is removed, the
number of pit defects present on the substrate increases dramatically with megasonic cleaning. It is also demonstrated
that, within the detection limits of the atomic force microscope (AFM), the size of a pit does not change due to cleaning.
Extreme ultraviolet lithography (EUVL) is a strong contender for the 32 nm generation and beyond. A defect-free mask
substrate is an absolute necessity for manufacturing EUV mask blanks. The mask blank substrates are, therefore,
cleaned with different cleaning processes to remove all defects down to 30 nm. However, cleaning suffers from the
defects added by various sources such as the fab environment, chemicals, ultra pure water, and the cleaning process
itself. The charge state of the substrate during and after cleaning also contributes to the number of adder defects on the
substrate. The zeta potentials on the substrate surface and the defect particles generated during the cleaning process
determine whether the particles get deposited on the surface. The zeta potential of particle or substrate surfaces depends
on the pH of the cleaning fluids. Therefore, in this work, pH-zeta potential maps are generated for quartz substrates
during the various steps of mask cleaning processes. The pH-zeta potential maps for defect particles commonly seen on
mask substrates are measured separately. The zeta potential maps of substrate and contaminant particle surfaces are
used to determine whether particles are attracted to or repulsed from the substrate. In practice, this technique is
especially powerful for deriving information about the origin of particles added during a cleaning process. For example,
for a known adder with a negative zeta potential, all cleaning steps with a positive zeta potential substrate could be the
source of added particles.
The capability of SEMATECH's Lasertec M7360 inspection tool to detect particles of different sizes and composition was studied on the surface of fused silica and MoSi multilayers (MLs) with a Si cap layer. Particles of Au, Ag, SnO2, Fe2O3, and Al2O3 were deposited and inspected 10 times with the M7360. Tool pixel size histograms were used to calculate the average pixel size per particle category. The calibration curves of pixel size for polystyrene latex (PSL) spheres were used to convert the average pixel size to the optical size of the defects as detected by the M7360. Selective sets of each category of particles then were reviewed by atomic force microscope (AFM) to calculate the sphere equivalent volume diameter (SEVD) of the particles. The contribution of the surface on which particles were deposited and defect composition and shape were studied. Our results indicate that for Fe2 O3 and SnO particles, size distribution on the surface of fused silica and MLs is similar and no effect of the substrate was observed. The AFM-measured SEVD size of particles were close to the nominal size of particles specified by the particle supplier. Optical size of particles were found to be larger or smaller than SEVD size for the different particles. In the case of the Au particles, the PSL equivalent optical size was found to be larger than the SEVD in good agreement with the modeling. By using prefabricated rectangular defects on a fused silica surface, we showed that the M7360 differentiates between the PSL and SEVD size of prefabricated defects. The PSL size is smaller than the SEVD size of prefabricated defects for particle sizes below 100 nm.
Nanoimprinting lithography (NIL) is being evaluated as a possible method for meeting lithography requirements for
semiconductor imaging at 32nm half-pitch nodes and below. NIL is included in the International Technology Roadmap
for Semiconductors (ITRS) as a potential choice for advanced lithography. In this technology, the template, or mold, is a
critical component in achieving the requirements for feature size and defectivity. Since NIL is a contact imaging
technique, one of the issues is the high probability of defects while imprinting. Since the template is in contact with a
fluid during the imaging process, maintaining the required template cleanliness needed to met the ITRS requirements
without damaging or changing critical dimensions is an important process. In this paper we discuss the results obtained
from several different NIL template cleaning methods using SEMATECH's Mask Blank Development Center facilities.
The effectiveness of different operating conditions as well as several different chemistries is compared.
The capability of hydrogenated water to clean EUV blank substrates was examined. The hydrogenated water cleaning
process was compared with an H2O2/NH4OH/H2O mixture (SC1) and ozonated water cleaning processes. A small
amount ammonia added to the hydrogenated water improved the particle removal efficiency. The concentration of
hydrogen and the method used to dispense the water had little effect. The use of ozonated and hydrogenated water
together gave high particle removal efficiencies, which were similar to those obtained using SC1. Additionally, the use
of ozonated water with hydrogenated water further reduced the amount ammonia required to achieve high particle
removal efficiencies. With further process optimization hydrogenated and ozonated water has the potential to replace
SC1 in cleaning EUV substrates.
Extreme ultraviolet (EUV) substrates have stringent defect requirements. For the 32 nm node, all particles larger than 26
nm must be removed from the substrate. However, real defects are irregularly shaped and there is no clear dimension for
an irregular particle corresponding to 26 nm. Therefore, the sphere equivalent volume diameter (SEVD) for a native
defect is used. Using this definition and defect detection measurements, all particles larger than 20 nm must be removed
from the substrate. Atomic force microscopy (AFM) imaging and multiple cleaning cycles were used to examine the
removal of particles smaller than 50 nm SEVD. Removal of all particles larger than 30 nm was demonstrated. Particles
that required multiple cleaning processes for removal were found to be partially embedded. The best cleaning yield can
be obtained if the cleaning history of the substrate is known and one can choose the proper cleaning processes that will
remove the remaining particles without adding particles. Ag, Au, Al2O3, Fe2O3, and CuO particles from 30 nm to 200 nm
were deposited on quartz surface. It was shown that these deposited defects are much easier to remove than native
defects.
Due to the increasing impact of smaller particles, mask cleaning continues to become more and more challenging in EUV lithography. To improve mask cleaning efficiency, advances in the fundamental understanding of the interaction between defect particles and mask surfaces are necessary. For this reason, surface force measurements were performed with an atomic force microscope on various mask surfaces relevant to EUV lithography. Experiments in air were carried out to illustrate particle interaction during mask transport and storage, while measurements in deionized ultrapure water were undertaken to investigate the influence of a basic cleaning chemistry. The effects of particle size were studied using SiNx tips with a nominal radius of 10 nm and spherical SiO2 probes with a radius of 500 nm. Particle interactions with mask surfaces in air were characterized by adhesion. Due to comparable surface roughness and surface chemistry, adhesion forces of a quartz mask substrate and a mask blank were similar. However, for a SiO2 sphere, the absolute values of the measured adhesive forces were greater than for a conventionally fabricated SiNx tip consistent with the probes' relative radii. Using a quartz mask substrate and deionized water as the intervening medium, the probe-substrate interaction observed was no longer characterized by attraction, but dominated by repulsive forces and hence potentially advantageous for cleaning purposes.
Extreme ultraviolet lithography (EUVL) is being considered as the enabler technology for the manufacturing of future
technology nodes (30 nm and beyond). EUV mask blanks are Bragg mirrors made of Mo and Si bilayers and tuned for
reflectivity at a wavelength λ ~13 nm. Implementation of EUVL requires that the mask blanks be free of defects at 30
nm or above. However, during the deposition of MoSi multilayers and later during the handling of blanks, defects are
added to the blank. Therefore, the cleaning of EUV mask blanks is a critical step in the manufacturing of future devices.
The particulate defects on the multilayer-coated mask blanks can either be embedded in or under the MoSi layers or
adhered to the top capping layer during the deposition process. The defects can also be added during the handling of
photomasks. Our previous studies have shown successful removal of the handling-related defects at SEMATECH's
Mask Blank Development Center (MBDC) in Albany, NY. However, cleaning embedded and adhered defects presents
new challenges. The cleaning method should not only be able to remove the particles, but also be compatible with the
mask blank materials. This precludes the use of any aggressive chemistry that may change the surface condition leading
to diminished mask blank reflectivity. The present work discusses the recent progress made at SEMATECH's MBDC in
cleaning backside Cr-coated mask blanks with a MoSi multilayer and a Si cap layer on the top surface. Here we present
our data that demonstrates successful removal of sub-100 nm particles added by the deposition process. Surface
morphology and defect composition on the surface of the MoSi multilayer are discussed. EUV reflectivity measurements
and atomic force microscopy (AFM) images of the mask blank before and after cleaning are presented. The present data
shows that no measurable damage to the EUV mask blank is caused by the cleaning processes developed at the MBDC.
Extreme ultraviolet (EUV) mask blanks must have nearly zero defects larger than 30 nm. Mask blank defects are an accumulation of defects present on the substrate, defects added during the multilayer (ML) deposition process, and defects added by handling the mask blank. A majority of the detectable defects are already present on the substrate before the ML deposition. However, very few of the defects present on the substrate before the ML deposition are detectable. This raises the question of whether the substrate's surface condition contributes to the total number of defects on the mask blank. Here the results of investigations on the relation between the total number of defects on the multilayer and the substrate surface condition are presented. The final surface condition is determined by the mask cleaning process. Correlation studies between defect maps before and after multilayer deposition are presented, and the relation between final defect size on the multilayer and substrate are discussed. SEMATECH's Mask Blank Development Center (MBDC) has a unique capability to characterize the surface of EUV glass substrates by atomic force microscopy (AFM), scanning electron microscopy (SEM), surface energy measurement, and zeta potential metrology. A series of experiments were performed in which different cleaning processes were used to modify the substrate surface condition before multilayer deposition. The effect of the cleaning process on the number of pits and particles after ML deposition was examined. The results indicate that although there is a direct relationship between the number of defects remaining on the substrate and mask blank defects after multilayer deposition, the variation in the total number of defects on the mask blank mainly corresponds to pits and particles already present on the substrate before cleaning and are not the result of the cleaning processes that were used before multilayer deposition.
The feasibility of removing defects from the surface of extreme ultraviolet (EUV) substrates by nanomachining is being
investigated. A commercially available atomic force microscope (AFM) based photomask repair tool was used. A
specific class of defects which has resisted all other removal techniques was targeted. Three AFM probes of varying
sharpness were evaluated. All of the probes removed the majority of each but fell short of achieving the desired 2006
high spatial frequency roughness specification of 0.2nm. Results reported are preliminary; future work will focus on
optimization of scanning parameters and tip geometry targeting specific residual defects reported in the text.
Defects on an extreme ultraviolet (EUV) mask blank strongly depend on the defects on the mask blank substrate. Any imperfection on the substrate surface in the form of a particle, pit, and scratch will appear on the EUV mask blank. In this article, we study the effect of the cleaning process on the creation of defects on the EUV substrate and mask blank. Added particles could be removed by improving the cleaning tool and the cleaning process. Pits are generally created when many large defects, particularly glass-like materials, are present on the surface and the substrate is exposed to a high energy cleaning step. Comparison of different high energy steps in a typical cleaning process suggests that the megasonic step most likely creates pits. Current cleaning processes developed in the Mask Blank Development Center (MBDC) have been optimized so that no added pits or particles are observed after using them.
Low thermal expansion material (LTEM) substrates were cleaned with recipes developed to clean blank quartz substrates. These recipes were capable of cleaning the LTEM without damaging the LTEM substrate. No effect of etching doped metals in LTEM was observed in these experiments. However, LTEM substrates currently require multiple cleaning cycles to obtain the same removal or cleaning efficiencies as quartz substrates. In addition, no change in the surface roughness or degradation of the backside choromium layer was observed.
We have studied the order parameter dynamics close to the SmA-SmC*A phase transition in homeotropic cells of 4-(1-ethylheptyloxycarbonyl) phenyl-4'-alkylcarbonyloxy biphenyl-4-carboxylate by photon correlation spectroscopy. The order parameter fluctuations in the antiferroelectric SmC*A phase can be decomposed into the fluctuations of the phase and the amplitude of the molecular tilt angle. Considering the unit cell to consist out of two adjacent layers, one can describe these fluctuations with two ferroelectric modes and two antiferroelectric modes. Using photon correlation spectroscopy we measured both ferroelectric phase modes in the backward scattering geometry. This is the first simultaneous observation of these modes. The temperature dependence of the relaxation rates of these modes gives the coupling coefficients of the ferroelectric and antiferroelectric order parameters, whereas the dispersion relation leads to the values of the diffusivity coefficients for antiferroelectric and ferroelectric phase modes in the SmC*A phase.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.