The actinic patterned mask inspection tool "ACTIS" has become essential for EUV photomask qualification in mask shops and semiconductor fabs. It provides high-resolution, high-throughput inspection that can detect all types of mask defects with lithographic implications because it uses the 13.5nm EUV light. ACTIS is equipped with a high-brightness EUV light source with an optimally sized etendue. It minimizes the heat load on the pellicles while increasing the number of photons on the detector to improve inspection sensitivity and throughput. High NA EUV lithography is scheduled to be introduced for the angstrom-generation technology node. Lasertec has developed a new model of ACTIS, the ACTIS A300, to meet the requirements of high-NA mask inspection. In high-NA EUV imaging, the effect of pattern edge roughness on inspection becomes more significant because the image resolution is higher than that of the current NA imaging. This paper discusses how Die-to-Database inspection using a machine learning-based reference image generation model minimizes the effects of edge roughness and further improves the sensitivity of A300.
Die-to-database inspection of optical patterned masks enables defect detection and subsequent repair for creation of defect-free masks regardless of single- or multi-die layout. The components required for optical die-to-database inspection include (1) optical photomask inspection tool with sufficient resolution to resolve the patterns of interest, (2) computational resources for (a) preparation of mask pattern data + (b) algorithms for detection and noise reduction to distinguish real defects from background variation, and (3) network and storage infrastructure to tie it all together. In this paper, we will present the first implementation of the die-to-database inspection flow on the MATRICS tool. To maximize tool utility, the system architecture decouples tool and compute resources, such that non-die-to-database inspections can proceed while die-to-database inspection also remains underway. Details of the mask pattern data preparation will be presented alongside real examples of detection capability from an Intel mask shop.
Lasertec released the actinic patterned mask inspection (APMI) system ACTIS in 2019 and has since been providing it as an actinic inspection solution for EUV mask inspection. ACTIS performs high-resolution, high-throughput inspection of EUV photomasks. It detects all types of mask defects making lithographic impact because it uses the wavelength of light used in EUV lithography as its light source. While actinic inspection is typically known for its capability to detect phase defects, it is also indispensable for detecting phase shift defects on EUV PSM. ACTIS performs both die-to-die (D2D) and die-to-database (DDB) inspections and can inspect all types of EUV masks including multi-die masks and single-die masks. High-NA lithography is expected to be used for the EUV process at the technology nodes of N2 and beyond. The nextgeneration ACTIS has an objective mirror with a higher NA. This makes it possible to have different resolution characteristics in the X and Y directions, enabling it to meet the sensitivity required to detect defects in the anamorphic patterns used for high-NA EUV lithography. In addition, as design nodes become smaller, curvilinear masks will be adopted to improve resolution characteristics on wafers, which will require handling a large amount of design data per mask. For DDB inspection, which generates reference images using sophisticated, high-speed computer processing, the inspection of curvilinear masks is a major challenge. In DDB inspection, curve masks generate large amounts of data because complex curve shapes are approximated using polygons with a large number of vertices. It needs more computing resources and leads to a longer processing time. The reference images generated for inspection must be more intricate. APMI is necessary for pattern mask qualification of EUV masks with pellicles. However, the high sensitivity inspection of masks with EUV pellicles was prevented by the incident power limitation by heat load on the pellicle. Therefore, we have developed a new EUV light source that can minimize the thermal load. This paper describes the development results of the next-generation ACTIS for high-NA EUV lithography, the DDB inspection capability of ACTIS for curvilinear masks, as well as the requirements for APMI light sources, which differ from those of EUV scanner light sources, and the development result of Lasertec's EUV light source "URASHIMA".
The demand for EUV mask qualification by inspection and metrology techniques continues with the technology node shrink. Smaller node products contain a higher number of masks that require EUV exposure. Semiconductor industries have developed a variety of inspection and metrology tools to accommodate these needs. The progress of these techniques provides well-qualified semiconductor devices. Blank manufacturing is the initial step of the mask-making process. Nanometer-scale bumps and pits on the substrate, uniformity of multilayer stack, and particle-induced wafer printing defects must be controlled during the blank-making process. Both optical mask inspection and actinic blank inspection (ABI) are widely used as effective qualification methods to detect a defect of interest. Patterned mask inspection is an essential process step for mask making. The optical pattern inspection operating at DUV wavelengths near 193nm, Actinic Patterned Mask Inspection (APMI) that uses EUV 13.5nm wavelength, and EB inspection are the presently used patterned mask inspection technologies. APMI plays a key role in EUV mask inspection due to its high-resolution imaging. The introduction of reliable database mode inspection capability added more usability for the latest single die configuration masks. To manage all the printing defects, actinic solutions have the capability to realize fast and reliable results. EUV pellicles are already in use with EUV masks. Thus, the actinic solution is considered a required inspection method for patterned mask qualification for pellicle mounted mask too. Multiple APMI systems have already been installed in mask industries for through-pellicle inspection purposes. We will report the current progress of patterned mask inspection technologies, applications, and the future roadmap for high NA EUV.
The ongoing trend to smaller structures and an increasing number of high MEEF patterns in mask design makes defect disposition and repair verification more critical than ever. For AIMS™ as the standard method for defect disposition and repair verification, the requirements are getting tighter. Additionally, the efforts required for defect analysis are steadily increasing. As a result, mask manufacturers are forced to continually find methods to increase productivity and optimize the cost of defect disposition.
Smart solutions for automated defect treatment together with a high degree of tool integration play an increasing role in this challenge. With AIMS™ AutoAnalysis, which provides fully automated analysis capability of AIMS™ aerial images, ZEISS addresses this challenge. Due to direct connection and communication of AutoAnalysis with the AIMS™ system via the FAVOR® platform, the image analysis process runs in parallel to the measurement process. A high degree of automation reduces the influence of human error and provides highly reliable results.
In the following paper a study is presented demonstrating the benefits of the implementation of AutoAnalysis in the production environment at Photronics, Inc. The study was carried out by analyzing defects on pattern sets, varying from simple to very complex patterns. Furthermore, the analysis capabilities of AutoAnalysis have been compared with the capability of operators and engineers.
The performance of AutoAnalysis is presented showing significant time saving in the defect disposition process as well as an overall increase in reliability of analysis results.
Optical lithography stays at 193nm with a numerical aperture of 1.35 for several more years before moving to EUV
lithography. Utilization of 193nm lithography for 32nm and beyond forces the mask maker to produce complex
mask designs and tighter lithography specifications which in turn make process control more important than ever.
High yield with regards to chip production requires accurate process control.
Critical Dimension Uniformity (CDU) is one of the key parameters necessary to assure good performance and
reliable functionality of any integrated circuit. There are different contributors which impact the total wafer CDU:
mask CD uniformity, resist process, scanner and lens fingerprint, wafer topography, etc.
In this study the newly developed wafer level CD metrology tool WLCD of Carl Zeiss SMS is utilized for CDU
measurements in conjunction with the CDC tool from Carl Zeiss SMS which provides CD uniformity correction.
The WLCD measures CD based on proven aerial imaging technology. The CDC utilizes an ultrafast femto-second
laser to write intra-volume shading elements (Shade-In ElementsTM) inside the bulk material of the mask. By
adjusting the density of the shading elements, the light transmission through the mask is locally changed in a manner
that improves wafer CDU when the corrected mask is printed.
The objective of this study is to evaluate the usage of these two tools in a closed loop process to optimize CDU of
the mask before leaving the mask shop and to ensure improved intra-field CDU at wafer level. Main focus of the
study is to investigate the correlation of applied attenuation by CDC and the resulting CD change, the impact of
CDC process on CD linearity behavior and the correlation of WLCD data and wafer data. Logic and SRAM cells
with features having designed line CD's at wafer level, ranging from 27nm to 42nm have been used for the study.
The investigation provides evidence that the applied attenuation by CDC shows a linear correlation to CD change at
wafer level measured with WLCD. Additionally, WLCD data shows that the CDC application does not impact the
CD linearity for the tested feature range. The WLCD measurement data in turn show an excellent correlation to
wafer print CD data indicating cost effective use case of closed loop WLCD/CDC application.
As optical lithography progresses towards 32nm node and beyond, shrinking feature size on photomasks and growing
database size provides new challenges for reticle manufacture and inspection. The new TeraScanXR extends the
inspection capability and sensitivity of the TeraScanHR to meet these challenges. TeraScanXR launches a new function
that can dynamically adjust defect sensitivities based on the image contrast (MEEF) -- applying higher sensitivity to
dense pattern regions, and lower sensitivity to sparse regions which are lithographically less significant. The defect
sensitivity of TeraScanXR for Die-to-Die (DD) and Die-to-Database (DDB) inspection mode is improved by 20-30%,
compared with TeraScanHR. In addition, a new capability is introduced to increase sensitivity specifically to long CD
defects. Without sacrificing the inspection performance, the new TeraScanXR boosts the inspection throughput by 35%-
75% (depending upon the inspection mode) and the dataprep speed by 6X, as well as the capability to process 0.5-1
Terabyte preps for DDB inspection.
KEYWORDS: Prototyping, Inspection, Reticles, Sensors, Detection and tracking algorithms, Imaging systems, Logic, SRAF, Signal to noise ratio, Digital breast tomosynthesis
A prototype die-to-database high-resolution reticle defect inspection system has been developed for 32nm and below
logic reticles, and 4X Half Pitch (HP) production and 3X HP development memory reticles. These nodes will use
predominantly 193nm immersion lithography (with some layers double patterned), although EUV may also be used.
Many different reticle types may be used for these generations including: binary (COG, EAPSM), simple tritone,
complex tritone, high transmission, dark field alternating (APSM), mask enhancer, CPL, and EUV. Finally, aggressive
model based OPC is typically used, which includes many small structures such as jogs, serifs, and SRAF (sub-resolution
assist features), accompanied by very small gaps between adjacent structures. The architecture and performance of the
prototype inspection system is described. This system is designed to inspect the aforementioned reticle types in die-todatabase
mode. Die-to-database inspection results are shown on standard programmed defect test reticles, as well as
advanced 32nm logic, and 4X HP and 3X HP memory reticles from industry sources. Direct comparisons with currentgeneration
inspection systems show measurable sensitivity improvement and a reduction in false detections.
KEYWORDS: Inspection, Reticles, Line edge roughness, Signal to noise ratio, Sensors, Detection and tracking algorithms, Spatial frequencies, Modulation transfer functions, Image processing, Defect detection
The new TeraScanXR reticle inspection system extends the capability of the previous TeraScanHR platform to advanced
32nm logic and 40nm Half Pitch (HP) memory technology nodes. The TeraScanXR has been designed to provide a
significant improvement in image quality, defect sensitivity and throughput relative to the HR platform. Defect
sensitivity is increased via a combination of improved Die-to-Die (D:D) and Die-to-Database (D:DB) algorithms, as well
as enhancements to the image auto-focus (IAF). Modifications to system optics and the introduction of a more powerful
image processing computer have enabled a ~2X faster inspection mode. In this paper, we describe the key features of the
TeraScanXR platform and present preliminary data that illustrate the capability of this tool. TeraScanHR tools currently
at customer sites are field-upgradeable to the TeraScanXR configuration.
Wafer Plane Inspection (WPI) is a novel approach to inspection, developed to enable high inspectability on fragmented
mask features at the optimal defect sensitivity. It builds on well-established high resolution inspection capabilities to
complement existing manufacturing methods. The production of defect-free photomasks is practical today only because
of informed decisions on the impact of defects identified. The defect size, location and its measured printing impact can
dictate that a mask is perfectly good for lithographic purposes. This inspection - verification - repair loop is timeconsuming
and is predicated on the fact that detectable photomask defects do not always resolve or matter on wafer.
This paper will introduce and evaluate an alternative approach that moves the mask inspection to the wafer plane. WPI
uses a high NA inspection of the mask to construct a physical mask model. This mask model is used to create the mask
image in the wafer plane. Finally, a threshold model is applied to enhance sensitivity to printing defects. WPI essentially
eliminates the non-printing inspection stops and relaxes some of the pattern restrictions currently placed on incoming
photomask designs. This paper outlines the WPI technology and explores its application to patterns and substrates
representative of 32nm designs. The implications of deploying Wafer Plane Inspection will be discussed.
Semiconductor device manufacturers have made technological advances in fabricating devices at 65nm and 45nm nodes. Technology is advancing towards 32nm node devices. Reticles at these device nodes are designed with tight critical dimension (CD) specifications and sub-resolution features. Inspection tools capable of detecting CD defects on the order of 20 nm are required to accommodate these device nodes. To meet this challenge, KLA-Tencor has developed a new "CD Detector" capability on the TeraScanHR reticle inspection tool that efficiently detects two-sided CD defects on reticles at the 45nm node and beyond. The CD Detector is available in both Die-to-Die (DD) and Die-to-Database (DB) inspection modes. This paper presents results of a CD Detector Beta evaluation on variety of advanced reticles in a production setting at Advanced Mask Technology Center (AMTC) in Germany. Inspection results will demonstrate improved sensitivity to two-sided CD defects and good inspectability, at inspection times similar to a standard HiRes inspection. Discussion will focus on enabling the highest sensitivity to CD defects at 72nm pixel inspections, which is suitable for advanced research and development studies, as well as improved sensitivity at 90nm pixel inspections for higher productivity.
Sub-resolution assist features (SRAF) are a common optical proximity correction method to preserve
main feature patterns upon imaging into a photoresist during the lithographic process. The presence
of SRAF can often reduce the inspectability and usable sensitivity in high resolution inspections of
these reticles. KLA-Tencor has developed an improved Thin-Line
De-sense capability for Die-to-Database inspections (dbTLD) on the TeraScanHR that addresses this challenge. The dbTLD
capability provides sensitivity control focused on SRAF, thus improving inspectability without compromising high sensitivity to main features. The key feature of the improved dbTLD capability is that it provides greater flexibility to effectively de-sense
non-critical defects on SRAF in variable sizes oriented at any angle and in variety of shapes including challenging L- and U-shaped structures. This paper will demonstrate the value of dbTLD on improving inspectability where aggressive SRAF structures exist. The selective application of sensitivity on main features and assist features is the key to improvement in database inspections without impacting throughput.
In this report, we demonstrate the effect of the dipole moment of the charge transport agent on carrier mobilities in photorefractive polymer systems. The charge carrier mobility measurements are presented as a function of applied field and temperature in several model systems. In these model systems, 30 percent by weight of a hole or an electron transport agent covering a range of dipole moments form 0.8 to 4 Debye, is doped into a polystyrene (PS) polymer matrix containing 25 percent by weight of the nonlinear optical chromophore 2,5-dimethyl-4-(p-nitrophenylazo)phenol having a dipole moment of 7.6 Debye. The results are described by the Gaussian disorder model based on hopping through a manifold of states with superimposed energetic and positional disorder, with the addition of a dipolar disorder contribution. We conclude from the results that the dipole moment of the charge transport agent has a strong influence on carrier mobility even in the presence of strongly polar nonlinear optical chromophores. Transport agents with low dipole moments significantly increase the carrier mobility and thus provides a useful alternative approach to improve photorefractive speeds.
In this report, we demonstrate the effect of the polar non-linear chromophore and other polar additives on hole mobilities in photorefractive polymer systems. The hole mobility measurements are presented as a function of applied field and temperature in two model systems. The nonlinear optical chromophore 4' nitro-4'-aminostilbene (NAS), having a large dipole moment of 6.7 Debye, is doped or covalently attached into a polymer matrix containing 30% by weight of diethylamino-benzaldehyde diphenyl hydrazone (DEH), a hole transport agent. The results are described by the Gaussian disorder model based on hopping through a manifold of states with superimposed energetic and positional disorder. We conclude from the results that the main effect produced by the polar additives is the reduced mobility, in agreement with the dipolar disorder model. The dipolar chromophores required in photorefractive polymers significantly decrease carrier mobility and also the speed of response.
We present measurements of the hole mobility in a photorefractive polymer composite as a function of temperature and applied electric field. The material is a composite, non-linear optical polymer bisphenol A 4-4'- nitroaminostilbene (bisA-NAS) mixed with 30 wt % of the hole transport agent diethylamino-benzaldehyde diphenyl hydrazone (DEH). The electric field and temperature dependencies of the hole mobility in the photorefractive polymer can be described at high fields by exp((beta) E1/2) and exp [-(T0/T)2] respectively, in agreement with the disorder theory of the well-known hopping model developed for charge-transport in molecularly doped polymers. The mobilities at all temperatures decrease ((beta) less than 0) with increasing fields up to a certain field, but increase again ((beta) greater than 0) at higher fields.
The photorefractive effect is a reversible mechanism of holographic grating formation in electro-optic materials, that has potential applications in integrated optics, optical data storage, optical computing, and several other areas. This effect was recently observed in photorefractive polymers doped with charge transport agents. The grating formation is initialized by the photoconductive response which includes charge generation, mobility, and trapping, occurring in successive order. Finally, the grating is formed as a result of modulation of the refractive index by the resulting space charge field, via the electro-optic (Pockels) effect. We present the results of photoconductive measurements as a function of temperature, applied electric field, and illuminated intensity. The investigation is focused on the nonlinear optical polymer bisphenol A 4-4-nitroaminostilbene mixed with 30 weight % of the hole transport agent diethylamino-benzaldehyde diphenyl hydrazone. We observed that the photoconductivity of the photorefractive polymer has the form exp[ aE1/2 + bE1/2/T2 - c/T2] in agreement with the diorder theory of the well- known hopping model developed for charge-transport in molecularly doped polymers. We also observed that the apparent hopping distribution bandwidth increases with increased intensity, consistent with an increasing density of states.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.