Deep ultra-violet (DUV) laser and short pulse lasers are used for laser processing, because they can decrease the heat effect for process materials. We are developing a hybrid ArF excimer laser that is consists of a solid-state laser, multi wavelength conversion and ArF excimer amplifier. This laser can generate DUV light of 193 nm wavelength short pulse width. In this research, we demonstrated laser drilling on ultra-high temperature structural material that is silicon carbide ceramic matrix composites (SiC-CMC) using high peak power DUV laser. The removal rate was 150 nm/shot with 460 ps pulse. This rate was more than 4 times higher than ArF excimer laser (20 ns pulse width). The HAZ was also reduced by using high peak power DUV light source.
A laser processing is widely applied to cutting, drilling, welding, bending and surface treatment in industry. Lasers with a wavelength of 1 μm are mainly used and the processing is realized by melting materials. This thermal process has a high productivity but the processed surface is hard to use for precision machining. This report is focusing on two materials which are classified in wide band gap. Ablation rate was measured with a laser microscope and an optical one. Excimer laser is expected to be a useful tool for these materials
Recently infrared laser has faced resolution limit of finer micromachining requirement on especially semiconductor packaging like Fan-Out Wafer Level Package (FO-WLP) and Through Glass Via hole (TGV) which are hard to process with less defect. In this study, we investigated ablation rate with deep ultra violet excimer laser to explore its possibilities of micromachining on organic and glass interposers. These results were observed with a laser microscopy and Scanning Electron Microscope (SEM). As the ablation rates of both materials were quite affordable value, excimer laser is expected to be put in practical use for mass production.
A frontier in laser machining has been required by material processing in DUV region because it is hard to get high power solid-state lasers in this spectral region. DUV excimer lasers are the only solution, and now the time has come to examine the new applications of material processing with DUV excimer lasers. The excimer lasers at 193nm and 248nm have been used in the semiconductor manufacturing for long years, and have field-proven stability and reliability. The high photon energy of 6.4 eV at 193nm is expected to interact directly with the chemical bond of hard-machining materials, such as CFRP, diamond and tempered glasses. We report the latest results of material processing by 193nm high power DUV laser.
KEYWORDS: Solid state lasers, Excimer lasers, Laser systems engineering, Deep ultraviolet, Optical amplifiers, Amplifiers, Crystals, High power lasers, Semiconducting wafers, Fiber amplifiers
We have been developing a hybrid 193 nm ArF laser system that consists of a solid state seeding laser and an ArF excimer laser amplifier for power-boosting. The solid state laser consists of an Yb-fiber-solid hybrid laser system and an Er-fiber laser system as fundamentals, and one LBO and three CLBO crystals for frequency conversion. In an ArF power amplifier, the seed laser passes through the ArF gain media three times, and an average power of 110 W is obtained. As a demonstration of the potential applications of the laser, an interference exposure test is performed.
KEYWORDS: Photoresist materials, Scanning electron microscopy, Electron beams, Solids, Cadmium, Monte Carlo methods, Lithography, Solid state lighting, Metrology, Extreme ultraviolet lithography
Shrinkage behavior caused by a single-line scan of an electron beam over a photoresist line was studied,
including shrinkage distribution in the photoresist-line direction. As single-line scan is the minimum unit of
controllable electron-beam irradiation during scanning-electron-microscope-image (SEM-image) processing, the
minimum amount of shrinkage should be observed in the condition. A new method for evaluating the minute
amount shrinkage and the shrinkage distribution caused by a single-line scan was developed. According to the
results of evaluations with this method, the shrinkage of a 50-nm-wide photoresist line caused by a single-line
scan is less than 0.1 nm under landing energies of 200, 300, and 500 eV and probe current of 8 pA. This
shrinkage is more than ten times smaller than the typical amount of shrinkage caused by a standard
two-dimensional scan. This result indicates the possibility of a significant reduction of photoresist shrinkage
during SEM measurements. The evaluations also show that the shrinkage caused by a single-line scan distributes
more than about 30 nm in the photoresist-line direction, which is wider than the simulated electron-scattering
range. Moreover, the evaluations show that the shrinkage distribution is narrower at higher position of the
photoresist-line. This tendency suggests that the wide shrinkage-distribution does not stem from the distribution
of the back-scattered electrons (BSEs) which enter the side wall of the photoresist line from the spaces nearby,
because the incidents of BSEs distribute wider at higher position of the photoresist-line. Hence, shrinkage occurs
in a wider region of the photoresist line than the region where electrons (including directly incident electrons and
BSEs) reach. This result suggests that in order to interpret the photoresist-shrinkage mechanism it is important to
clarify how the microscopic volume-reduction caused by electron-molecule interactions is integrated into
macroscopic photoresist-pattern deformation. An elastic deformation is a plausible mechanism for this
macroscopic photoresist-shrinkage process.
The chemical reactions induced in chemically amplified resists using a molecular glass resist (the seventh Selete
Standard Resist, SSR7) were investigated. Two-dimensional (half-pitch and exposure dose) matrices of resist line width
and line edge roughness (LER) and the remaining resist thickness were analyzed on the basis of the sensitization
mechanisms of chemically amplified resists for extreme ultraviolet (EUV) lithography. The line width, LER, and
remaining resist thickness were successfully reproduced by assuming that LER is inversely proportional to the chemical
gradient. The chemistry of SSR7 was discussed.
This paper summarizes the development of EUV molecular resists based on fullerene derivatives: the lithographic
evaluation results of EUV resists using a small-field exposure tool (SFET). Moreover this is the first report on the
application of fullerene-based molecular resists to half-pitch (hp) 3x-nm test device fabrication using a full-field
step-and-scan exposure tool (EUV1).
This presentation summarizes the relationships between resist outgassing and contamination deposition for EUV
resists, in the case of EUV irradiation with high illumination intensity (>100mW/cm2). These relationships were
obtained by determining the resist outgassing species by gas chromatography-mass spectroscopy (GC-MS) and the
contamination on optical elements by witness sample testing.
The Selete R&D program evaluates the feasibility of the Extreme ultraviolet (EUV) lithography process for
manufacturing semiconductor devices. We therefore conducted a yield analysis of hp-2x-nm test chips by using the
EUV1 (Nikon) full-field exposure tool. However, the resist performance did not comply with the stringent requirements
of ultimate resolution, sensitivity, and line-width roughness.
We subsequently reported two new Selete standard resists (SSRs), i.e., SSR6 and SSR7. SSR6 is the polymer
resist used in hp-2x-nm test chip evaluation in which an ultimate resolution of 22 nm line-and-space (L/S) pattern was
achieved. SSR7 is the first molecular resist that was evaluated for feasibility at Selete. SSR7 is a fullerene based resist
with strong etching durability. By using this resist, an ultimate resolution of 24 nm L/S pattern was achieved.
We have also evaluated resist processing by using SSRs for hp-2x-nm test chip evaluation. An ultrathin
underlayer was evaluated for the improvement of pattern transferability. This optimized ultrathin underlayer was coated
on the test chip substrate that was devoid of nano-sized-pinholes, and a fine pattern was observed on this ultrathin
underlayer. In the evaluation of the development process, SSRs were evaluated with tetramethylammonium hydroxide
(TMAH) and tetrabutylammonium hydroxide (TBAH) developer solutions. In summary, it was clear that the lithographic
performance improvement varies depending on the type of polymer resist used with a particular developer solution.
Furthermore, a significant improvement in the prevention of pattern collapse was demonstrated using a combination of
the TBAH developer solution and alternative rinse solutions.
We have developed negative-tone molecular resist based on C-4-cyclohexylphenylcalix[4]resorcinarene(MGR108) and positive-tone molecular resist based on protected C-4-isopropylphenylcalix[4]resorcinarene (MGR104P). Both MGR108 and MGR104P showed high solubility in both conventional resist solvents such as propylene glycol monomethyl ether and conventional alkaline developer of 0.26N TMAHaq. In this paper, we show current performance of resists by EB lithography (EBL) and EUV lithography (EUVL).
This paper summarizes the development of EUV resists based on various new materials: the lithographic evaluation results of EUV resists from resist material manufacturers using the small field exposure tool (SFET). We discuss the screening results of new resin materials based on
calix[4]resorcinarene, "Noria" and fullerene.
We investigated the relationship between line edge roughness (LER) and the chemical gradient using the fourth Selete
Standard Resist (SSR4). Two-dimensional (half-pitch and exposure dose) matrices of resist line width and LER were
analyzed on the basis of the sensitization mechanisms of chemically amplified resists for extreme ultraviolet (EUV)
lithography. The latent images of resist patterns were successfully reproduced by assuming that LER is inversely proportional to the chemical gradient. The product of LER and normalized chemical gradient was approximately 0.2 for SSR4.
KEYWORDS: Extreme ultraviolet lithography, Lithography, Extreme ultraviolet, Line edge roughness, Line width roughness, Scanning electron microscopy, Electron beam lithography, Photoresist processing, Semiconductors, Electron beams
For improving resist performance, we have developed new Low-Molecular resists for which the substituted position
and number of protecting group have no dispersion for controlling the chemical properties, such as solubility rate to
alkaline developer. And we evaluated their Electron beam (EB) and Extreme Ultraviolet (EUV) patterning performance.
The EUV lithographic evaluation of these resists was carried out at SFET (small field exposure tool) in Semiconductor
Leading Edge Technologies Inc. (Selete). Newly synthesized resists have shown high performance of sensitivity and
resolution under EB or EUV exposures.
In this paper, we outline the design of new low molecular weight resists. The material properties, EUV outgassing
analysis and the patterning capability of these newly synthesized low molecular weight resists are reported.
This paper summarizes the investigation of the evaluation methods of EUV resist outgassing based on pressure-rise,
gas chromatography mass spectrometry (GC-MS) and quadrupole mass spectrometry (QMS). We discuss the merit
and demerit about these three methods and propose an optimal employment of each evaluation method. In addition,
detail results of resist outgassing evaluated from GC-MS were reported.
Extreme ultraviolet (EUV) lithography is the leading candidate for the manufacture of semiconductor devices at the hp-
22-nm technology node and beyond. The Selete program covers the evaluation of manufacturability for the EUV
lithography process. So, we have begun a yield analysis of hp-2x-nm test chips using the EUV1 full-field exposure tool.
However, the resist performance does not yet meet the stringent requirements for resolution limit, sensitivity, and line
edge roughness. We reported on Selete standard resist 4 (SSR4) at the EUVL Symposium in 2009. Although it has better
lithographic performance than SSR3 does, pattern collapse limits the resolution to hp 28 nm. To improve the resolution,
we need to optimize the process so as to prevent pattern collapse. An evaluation of SSR4 for the hp-2x-nm generation
revealed that a thinner resist and the use of a TBAH solution for the developer were effective in mitigating this problem.
Furthermore, the use of an underlayer and an alternative rinse solution increased the exposure latitude by preventing
pattern collapse when the resist is overexposed. These optimizations improved the resolution limit to hp 22 nm.
The measurement 'lower limit' and repeatability of EUV resist outgassing analysis using the pressure rise and gas
chromatography mass spectrometry (GC-MS) methods are investigated and discussed. Resist outgassing rate and amount
measurement results showed a good repeatability with the application of the same method. As for measurement
differences between dissimilar analysis methods (pressure rise and GC-MS), a relative difference of around 10 times was
obtained. In addition, qualitative analysis performed using the GC-MS showed the need for clean measurement
environment (significantly high vacuum conditions) to reduce the effect of background components affecting the
measurement quality. Under such measurement conditions, an accurate analysis of the exact source of resist outgassing
components was identified. As a result, it was confirmed that resist outgassing of the EUV resist is mostly composed of
photo acid generator and protecting group byproducts.
This paper summarizes the development of EUV resists at Semiconductor Leading Edge Technologies (Selete): the
benchmarking results of more than 160 EUV resists from resist manufacturers using the small field exposure tool
(SFET) and the selection of the Selete standard resists (SSR) for the SFET. We discuss the current status of EUV
resist performance compared to the targets for 32-nm half-pitches (hp) concerning resist sensitivity, ultimate
resolution, and line-width-roughness (LWR). In addition we show the screening results of new resin materials.
The main challenge facing the implementation of EUV resist and processing has been concurrent achievement of high
sensitivity, high resolution, and low line width roughness (LWR). In order to improve the performance of EUV resist,
Selete is actively pursuing its benchmarking. The results from this benchmarking were found to be as follows: Esize
improved with the increasing capability of EUV pattern exposure. Sensitivity improved during this year. Resolution is
found to be almost sufficient for 32-nm half-pitch (hp), but not quite good enough for 22-nm hp. Resist blur of the resist,
which marked good score in benchmarking, is found to be 10nm to 11nm. LWR is still far from its target value.
The adamantane-based molecular glass resist were studied its functional capability for lithography process in this work. GR-5 represented adamantane-based molecular glass resist were described as compared with the conventional polymer for 193 nm lithography. Low molecular weight which is one of the features of the molecular glass resist are expected to reduce the line width roughness (LWR) and the line edge roughness (LER). We evaluated the surface roughness (Ra; arithmetic mean departures of roughness profile from the mean line) by using the atomic force microscopy (AFM) instead. GR-5 has actually lower Ra value of 0.345 nm after the exposure and the development process. As the result of the confirmation of the GR-5's performance between the refractive index (n) and transparency (T), although n value stood on over 1.8, T value was less than 30% at 193 nm wave length. It is not likely to solve the higher refractive index and the higher transparency simultaneously. Further the scope of the adamantane-based molecular glass resist to apply for the EUV lithograph was found.
Low molecular weight materials that form a stable glass above room temperature offer several advantages comparison
with traditional linear polymers as patterning feature size decreases. Low molecular weight amorphous materials that are
free from chain entanglements with smaller molecular size and high density of sterically congested peripheral molecules
are expected to reduce the variations in line edge roughness (LER) at smaller feature dimensions. In addition, the small
uniform molecular size offers excellent processability, flexibility, transparency and uniform dissolution properties based
on elemental composition.
The evaluation of the novel low molecular weight amorphous resists was carried out both at NewSUBARU
synchrotron facility of University of Hyogo, and at ASET EUV process technology research lab. in Japan.
Newly synthesizes low molecular weight resist has shown high performance of sensitivity and resolution under EB or
EUV exposures and also etch resistance.
In this paper, we outline the design and synthesis of new molecular weight resists. The material properties,
photochemistry and the patterning capability of these newly synthesizes low molecular weight resist will be discussed.
The deposition characteristics of carbon film on EUV mask surface, the impact of carbon deposition on lithography
performance, and cleaning of deposited carbon film on EUV mask are studied. The density of the carbon film was found
to be nearly half of that of graphite by X-ray reflectivity measurement. The impact of carbon deposition on the
lithography performance was simulated by SOLID-EUV. The CD variation by carbon deposition on the mask depends
on the deposition profile on the absorber pattern. Intentionally created contaminated masks were treated by a cleaning
process using atomic hydrogen. The cleaning efficiency and durability of film materials are discussed.
We have designed and synthesized a molecular resist material, which has no distribution of the protecting
groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist
attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the
distribution of the protecting groups in a molecular resist material has a great impact on improving Line Edge
Roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were
achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.
Although EUV lithography has been prepared for next generation litho-technique for several years, there are still lots of
obstacles on its way. Especially, phase defect from the mask, and immaturity in the resist should be solved as soon as
possible because they are directly related to realizing patterns on the wafer. ASET has been focusing on these two
problems, that is, the mask-related defect control and the resist screening for EUV application. In this study, we
concentrate on the resist evaluation for the EUV lithography application, mainly commercial CAR (Chemically-
Amplified Resist) type resist, for example, ArF resist based on polymethacrylate and KrF resist based on poly(4-
hydroxystyrene) (PHS). We screened tens of resists in viewpoint of resolution, photo-speed, and LWR (Line Width
Roughness). We used two METs (Micro-Exposure Tools). The one is HiNA in ASET and the other is MET in Lawrence
Berkeley National Lab. (LBNL) to evaluate resist. And we used EUV masks fabricated by DNP and ASET. Some resist
showed modulation on the wafer for 28nm-hp line and space pattern and some resist showed very high photo-speed
about 5mJ/cm2. Photo-speed could be improved about 25% by controlling the amount of additives, PAG and quencher.
However, improvement in photo-speed caused degradation in resolution. This means there are trade-off relation
between resolution and photo-speed. And we also evaluated polymer-bound PAG resist, which showed new possibility
for EUV resist. And we encountered unexpected problem, pattern lifting, which was solved by using bufferlayer to
increase attachment force between resist and wafer surface. We conclude that polymer bound PAG resist is a good
approach to lower LWR of resist for EUVL application and bufferlayer tuning and matching with resist is also needed
for low LWR. The EUVL masks were fabricated by Dai Nippon Printing Co., Ltd. The HiNA set-3 projection optics
were developed and provided by Nikon Corporation. This work was supported by NEDO.
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used
in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated
wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH
and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare
different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show
a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to
correlate outgassing measurements to witness plate experiments.
Atomic hydrogen cleaning has been developed to reduce the amount of surface oxide on Ru-capped Mo/Si multilayer mirrors for EUVL. Atomic hydrogen generated by a heated W wire catalyzer was supplied to a Ru cap layer that had been lightly oxidized by ECR O2 plasma or EUV irradiation. The effectiveness of atomic hydrogen in deoxidizing it was examined by ex situ AES, XPS, and EUV absolute reflectivity measurements; and it was found that the amount of surface oxide was reduced to the initial level and that the EUV reflectivity of a multilayer degraded by oxidation recovered. In addition, the transport of atomic hydrogen thorough a winding quartz tube was demonstrated to be a promising technique. The actual density of hydrogen radicals was directly measured under various conditions so that the conditions for generating atomic hydrogen could be optimized and the required treatment time shortened.
Although 50-56-nm contact-hole (C/H) patterns will be required in 2010, it is very difficult to fabricate such small C/H pattern by optical lithography. Since extreme-ultraviolet lithography (EUVL) uses a much shorter wavelength than optical lithography, it should provide better image contrast. We have installed a high-numerical-aperture (NA = 0.3) small-field EUV exposure tool (HiNA) and are now evaluating the printability of various kinds of patterns. In this study, C/H patterns with sizes of 50-150 nm were printed using the HiNA optics under the annular illumination (σ=0.5-0.8), and the printability was assessed. Fine C/H patterns, such as dense 55-nm C/H and isolated 50-nm C/H, were successfully fabricated using a binary mask without optical proximity correction. The slope of the mask linearity was about 1.0-3.0 for dense C/H (mask CD: 80-150 nm) and about 1.0-4.0 for isolated C/H (mask CD: 90-150 nm). Simulation results indicate that the aberration, the flare and the central obscuration of the HiNA optics considerably degraded the aerial images of fine C/H patterns. They also indicate that annular illumination (σ=0.5-0.8) is not suitable for obtaining good mask linearity in C/H patterns. A smaller central obscuration, less aberration, less flare and the optimization of σ should improve the resolution limit and mask linearity for C/H patterns.
This study had two goals. One was the evaluation of the ultimate fine-pitch patterning performance of the high-numerical-aperture (NA = 0.3) small-field EUV exposure tool (HINA). The other was the evaluation of the lithographic performance of conventional chemically-amplified (CA) polymeric resists and molecular resists using the HINA. Imaging experiments were carried out using coherent illumination (σ = 0.0). An EUV mask with a 60~80-nm-thick TaGeN absorber and a 10-nm-thick Cr buffer layer was fabricated to replicate dense sub-30-nm patterns. To determine the ultimate resolution of the HINA under three-ray interference in the sagittal direction, sub-30-nm-wide lines and spaces were delineated in a non-chemically-amplified resist. The smallest patterns delineated were dense 27-nm-wide lines, and the resolution obtained was nearly equal to the resolution limit of the HINA, which is the cut-off frequency of the optics in three-ray interference. A polymeric CA resist based on acetal-protected poly(hydroxystyrene) provided the best performance. It enabled the delineation of 28-nm-wide lines and spaces in a 70-nm-thick layer of resist at an exposure dose of 10 mJ/cm2. A CA positive-tone resist based on low-molecular-weight amorphous polyphenol was also tested. It consists of a partially protected polyphenol, namely, 4,4'-methylenebis[2-[di(2,5-dimehtyl-4-hydroxyphenyl) methyl]phenol (25X-MBSA-P). It enabled the delineation of 30-nm-wide lines and spaces in a 40-nm-thick layer at an exposure dose of 10 mJ/cm2. In addition, the sub-22-nm patterning of CA resists was performed under two-ray interference in the meridional direction.
Since device makers must use the lowest cost process for their survivals, they will want to use their old refractive litho-tools such as ArF and KrF. They will want to extend their refractive optical paradigm by using the immersion lithography. However, simulation results show that it is difficult or impossible to print sub-30nm patterns using immersion without resolution enhancing techniques, for example, double exposure. Therefore EUV is a promising candidate to prepare the next generation litho-technique. ASET is focusing all efforts on developing EUV mask and EUV resists. In this paper, we have focused on and evaluated resists for EUV lithography targeting sub-30nm patterning. The resists we evaluated were mainly chemically amplified resist for KrF and ArF and new type of resist for EUV. And we also tuned resists with solution and additives. We also checked several properties such as LWR (Line Width Roughness), minimum resolutions, and sensitivity curves. Several candidates have shown potentialities for EUV resists. In present, EUV resist is not perfect and has unsolved problems such as outgassing and low speed, but it will be also improved as soon as ArF and KrF have been done.
To find resists having high resolution accompanied with good sensitivity and small LER is a big issue in EUV lithography to make path for volume manufacturing. We have started screening of resists by using high numerical aperture (NA) micro-exposure tool HiNA. Some of the results within 29 evaluated resists, including commercial and non-commercial, are presented with the consideration of relationship between optical conditions. The results obtained by another high NA micro-exposure tool MET located Berkeley National Laboratory are also shown and compared with the results by HiNA. In both exposure tools, down to 28 nm dense patterns were replicated but the LER was about 4 nm at best showing the requirement for further works
A rapid, damage-free method of removing carbon contamination from EUV multilayer has been developed that employs atomic hydrogen generated by a heated catalyzer consisting of a W wire. Test samples were prepared by contaminating Mo/Si multilayers with carbon using EB or synchrotron radiation (SR). The insertion of a thermal shield between the catalyzer and a sample prevented radiant heat from the catalyzer from damaging the sample during cleaning. Ex situ XPS measurements and measurements of the thickness of the carbon layer with optical thickness measurement systems showed that the new treatment completely removes carbon from multilayers. The EUV reflectivity of multilayers was measured before and after cleaning to assess any resulting damage. It was found that cleaning changed the reflectivity and the centroid wavelength only marginally, within the measurement error.
Three sets of projection optics (Sets 1, 2, and 3) were fabricated to the mark of a wave front error (WFE) of less than 1 nm. The RMS WFE is 7.5 nm for Set 1, 1.9 nm for Set 2, and at most 0.9 nm for Set 3. In addition, the RMS mid-spatial frequency roughness (MSFR), which affects flare, is 0.34 nm for Set 2 and 0.17 nm for Set 3. This paper discusses the current lithographic performance of HINA, especially the evaluation of flare and the replication of fine-pitch patterns. Several EUV masks were fabricated to evaluate the effects of flare and to replicate fine-pitch patterns. In the case of Set 2 optics, 90 nm lines and spaces were barely delineated using a bright-field mask due to the RMS MSFR of 0.34 nm, and replication of 70 nm lines and spaces were achieved using a dark-field mask. Since the RMS WFE and the RMS MSFR for Set 3 optics are half as much as that for Set 2 optics, the lithographic performance of HINA is markedly improved. 50 nm lines and spaces of non-chemically-amplified resist were delineated with the illumination condition of a partial coherence, σ, of 0.8 and 45 nm lines and spaces were delineated with the annular illumination condition of outer σ of 0.8 and inner σ of 0.5. In addition ultimate resolution of 30 nm lines and spaces of chemically-amplified resist was performed under the coherent illumination condition of σ of 0.0.
Since extreme-ultraviolet lithography (EUVL) uses a much shorter wavelength than optical lithography, it should provide better pattern fidelity. In this study, various patterns were printed with a high-numerical-aperture (NA=0.3) small-field EUV exposure tool (HiNA) with new set-3 projection optics, and their fidelity to the mask patterns was evaluated. The set-3 optics have one-half the wavefront error and one-quarter the flare of the set-2 optics, and should thus provide much better image contrast. For EUVL mask fabrication, we used mask blanks with a TaGeN/Cr absorber stack and existing process tools for photomasks, which enable the fabrication of very accurate mask patterns. In the printing experiments, 80-nm line-and-space (L/S) and isolated-line patterns were successfully fabricated simultaneously without any optical proximity correction (OPC) of the mask pattern. For 60-70-nm line patterns, the printed patterns were still of good quality, but were slightly deformed. We speculate that this was caused by the low contrast of the aerial image, mainly due to wavefront error and flare. For 60-80-nm contact-hole (C/H) patterns, both dense and isolated holes were successfully fabricated without OPC or phase-shift mask (PSM). However, the printed patterns were almost circular, even though the mask patterns were square. This might be due to deformation of the aerial image and deformation produced by the resist process. For better pattern fidelity, it will probably be necessary to improve the characteristics of both the projection optics and the resist.
We developed a high-numerical-aperture EUV exposure tool (HiNA). HiNA is equipped with an illumination system, projection optics, a mask stage and a wafer stage in the vacuum chamber. The projection optics consist of two aspherical mirrors (M1 and M2). The numerical aperture of the optics is 0.3. Thus far, we fabricated two sets of projection optics (set-1 and set-2). The wavefront errors of set-1 and set-2 were 7.5nm rms and 1.9nm rms, respectively. We developed the third set of projection optics (set-3), the target wavefront error of which was less than 1nm rms. In set-3, we also attempted to reduce flare. We completed the mirror polishing, coating and mirror adjustment of set-3. Using a new polishing method, we successfully reduced low-spatial-frequency roughness (LSFR), mid-spatial-frequency roughness (MSFR) and high-spatial-frequency roughness (HSFR) simultaneously. The predicted wavefront error calculated from the LSFR number was 0.69nm rms. MSFR, which strongly affects the flare of the optics, was significantly reduced to less than 0.2nm rms. The estimated flare was 7%, which is significantly reduced to one-fourth that of set-2. The wavefront error of set-3 was measured with the visible-light point diffraction interferometer (PDI) after coating and assembly. The wavefront error measured after adjustment and cramping of the adjustment system was 0.90nm rms, which is less than one-half the wavefront error of set-2.
Aspherical mirror fabrication of HiNA set-3 projection optics was completed. By using a new polishing method, we successfully reduced low spatial frequency roughness (LSFR), mid spatial frequency roughness (MSFR) and high spatial frequency roughness (HSFR) compared with HiNA set-1 and set-2 projection optics. MSFR, which strongly affects the flare of the optics, was remarkably reduced to less than 0.2nm rms. HiNA projection optical system with the numerical aperture of 0.3 consists of two aspheric mirrors (M1 and M2). We had already fabricated two sets of the HiNA projection optics. The wavefront error (WFE) of the set-1 optics was 7.5nm rms and that of the set-2 optics was 1.9nm rms. We tried to reduce the WFE and flare in the set-3 optics. The target number of WFE of the set-3 optics was less than 1nm rms. The LSFR, MSFR and HSFR of the M1 of the set-3 optics were 0.25nm rms, 0.17nm rms and 0.10nm rms, respectively. The LSFR and MSFR are almost half values compared with those of the M1 for the set-2 optics. The HSFR was also reduced from 0.13nm rms (set-2) to 0.10nm rms (set-3). The LSFR and MSFR of the M2 were 0.25nm rms and 0.20nm rms, respectively. The estimated wavefront error calculated from these LSFR numbers is 0.7nm rms.
We have developed a high numerical aperture (NA) small-field exposure system (HiNA) for EUV exposure process development. NA of projection optics of EUV exposure tools for 45-nm node lithography is expected to be around 0.25, which is higher than that previously expected (0.1). HiNA has compatible illumination system, which can be switched to partial coherent illumination and coherent illumination by changing some optical elements. Coherent illumination system was prepared for a high contrast imaging but the uniformity of intensity is less than that of partial coherent illumination. A reflected-type fly*fs-eye element was adopted for partial coherent illumination, which can provide uniformity of both coherency and intensity simultaneously. The coherency of the partial coherent illumination is 0.8. HiNA projection optics consists of two aspheric mirrors, with the NA and the imaging field of 0.3 and 0.3×0.5mm2, respectively. We fabricated two sets of projection-optics. Although the wavefront error of set-1 optics was 7nmRMS, that of set-2 optics was improved to 1.9nmRMS, which was measured with a point diffraction interferometer (PDI) using He-Ne laser. The wavefront error of the set-2 optics was improved by using a new mirror mount mechanism. The mount system consists of several board springs made of super invar in order to minimize the deformation of mirrors by mounting stress. The projection optics of the set-2 has a remote controlled mirror adjustment mechanism which has five degrees of freedom (X,Y,Z,X-Tilt and Y-Tilt). The position of the concave secondary mirror was adjusted precisely with measuring the wavefront error using PDI.
The line edge roughness (LER) of ultrathin chemically amplified (CA) KrF-resist-based films was investigated using exposure to extreme ultraviolet (EUV) radiation (13.5nm). For the films between 0.09micrometers and 0.13micrometers thick, the LER was about 5~7% for a target critical dimension (CD) of 70nm and exposure to coherent illumination ((sigma) =0.01). The LER was found to be smaller in samples containing a relatively strong-acid photo-acid generator (PAG). The use of baking conditions producing greater acid diffusivity and the use of weak developer were very effective in reducing the LER of thin resist films. Atomic force microscope (AFM) observations showed the surface morphology of samples with a small LER to be very uniform. These results suggest that the use of a high-sensitivity resist and a weak developer may help to create an environment promoting uniform dissolution, thus resulting in a smaller LER in thin resist films.
We have examined alicyclic polymers with a (gamma) -hydroxy acid structure in order to investigate the properties of (gamma) -hydroxy acid and (gamma) -lactone as function groups of ArF negative resist materials. From the viewpoint of transparency and dry-etching resistance, (gamma) -hydroxy acid and (gamma) -lactone structure were found to be suitable for ArF negative resists materials. Surprisingly, the reactivity of the acid-catalyzed reaction of (gamma) -hydroxy acid is affected by the polymer structure. Using ArF excimer laser stepper, 0.20-micrometers line-and-space patterns without micro-swelling distortion were obtained from a negative resist consisting of alicyclic polymer with the (gamma) - hydroxy acid structure and a photoacid generator. Distortion was avoided because the number of carboxyl groups decreased drastically in the exposed area by the acid-catalyzed intramolecular esterification of (gamma) -hydroxy acid to (gamma) -lactone. As a result, (gamma) -hydroxy acid and (gamma) -lactone structure were found to be suitable function groups for ArF negative resist materials.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.