To find the most suitable setup of the Mo and Si targets and substrate for the formation of Mo-Si multilayers with a low defect density, three deposition configurations (upward, horizontal, and off-axis) for magnetron sputtering (MS) were studied. It was found that the horizontal configuration yielded the lowest defect count and was also the best for ion beam sputtering (IBS). A defect density as low as 1 defect/cm2 has been achieved for Mo-Si multilayers grown by IBS or MS. A new approach to reducing the thickness of the interface layer between Mo and Si layers that involves the use of an assisted ion beam (AIB) was found to be effective. Transmission electron microscopy revealed that, during MS, AIB treatment of a Si surface before deposition of a Mo layer reduced the thickness of the interface layer to zero. Angle-dependent X-ray diffraction measurements of multilayers showed sharp reflection peaks, indicating considerable improvement in the interface structure.
Ion beam sputtering and magnetron sputtering were used to grow Mo-Si multilayer structures to investigate which is more suitable for the fabrication of mask blanks for extreme ultraviolet (EUV) lithography. For ion beam sputtering, the difference between using Ar and Xe as the sputtering gas was also examined. For ion beam sputtering, the peak EUV reflectivity of 40 Mo-Si bilayers was measured to be about 62% at wavelengths in the range of 12-15 nm; while for magnetron sputtering, the value was 65%. A transmission electron microscopy analysis of multilayers deposited by ion beam sputtering revealed an interface layer between the two materials: It had a thickness of 1.5 ± 0.2 nm when Mo was deposited on Si, and a thickness of 0.7 ± 0.2 nm when Si was deposited on Mo. These interface layers were 30-50% thicker than those formed during magnetron sputtering. The mechanism by which interface layers form is discussed based on an ion implantation model.
A rapid, damage-free method of removing carbon contamination from EUV multilayer has been developed that employs atomic hydrogen generated by a heated catalyzer consisting of a W wire. Test samples were prepared by contaminating Mo/Si multilayers with carbon using EB or synchrotron radiation (SR). The insertion of a thermal shield between the catalyzer and a sample prevented radiant heat from the catalyzer from damaging the sample during cleaning. Ex situ XPS measurements and measurements of the thickness of the carbon layer with optical thickness measurement systems showed that the new treatment completely removes carbon from multilayers. The EUV reflectivity of multilayers was measured before and after cleaning to assess any resulting damage. It was found that cleaning changed the reflectivity and the centroid wavelength only marginally, within the measurement error.
An EUVL mask consists of a multilayer, a capping layer, a buffer layer, and an absorber layer formed on a glass substrate with a low coefficient of thermal expansion. The buffer layer protects the multilayer during the repair of absorber defects. In this study, Ru, Cr, and CrN were investigated as buffer layer candidates, and their etching selectivity with respect to a TaGeN absorber, DUV defect inspection contrast, and film stress were compared. Ru was found to be the most promising material of the three.
Mask blank inspection is a critical issue in EUV lithography. Visible-light inspection has the advantages of a high throughput and a low tool cost, while actinic inspection potentially has a high inspection capability. The Hamamatsu Photonics Super Fine Particle Detection System, which employs dark-field scattering optics, has a high detection sensitivity and throughput. It is able to detect PSL spheres with diameters below 50 nm on a Si substrate. So, we tried using it to detect fine PSL spheres on Mo/Si multilayer mask blanks. 60-nm PSL spheres were detected, but noise arising from the surface roughness of the Mo/Si multilayer prevented the accurate detection of PSL spheres with diameters of less than 50 nm. Thus, it is important to reduce the surface roughness of the multilayer in order to improve the inspection capability of visible-light inspection systems.
EUVL mask process of absorber layer dry etching and defect repair were evaluated. TaGeN and Cr were selected for absorber layer and buffer layer, respectively. These absorber layer and buffer layer were coated on 6025 Qz substrate. Two dry etching processes were evaluated for absorber layer etching. One is CF4 gas process and the other is Cl2 gas process. CD uniformity, selectivity, cross section profile and resist damage were evaluated for each process. FIB-GAE and AFM machining were applied for absorber layer repair test. XeF2 gas was used for FIB-GAE. Good selectivity between absorber layer and buffer layer was obtained using XeF2 gas. However, XeF2 gas causes side etching of TaGeN layer. AFM machining repair technique was demonstrated for TaGeN layer repair.
EUV absorbance and dry-etching characteristics of TaGeN film for use as the absorber material in EUVL masks were evaluated. Measurement of the EUV reflectivity of TaGeN on a Mo/Si multilayer revealed the linear absorption coefficient of TaGeN film to be about 35 μm-1 at the wavelength of 13.5 nm. Reflectivity profiles obtained by simulations using this value fit measured profiles for several absorber thicknesses very well. Since the reflectivity of TaGeN on a multilayer is affected by the interference between the EUV light reflected from the absorber surface and that reflected from the multilayer underneath, the mask contrast varies periodically with absorber thickness. To obtain a mask contrast of 100, the TaGeN has to be 66 nm thick, if interference is not taken into account, and 80 nm thick, if it is. TaGeN film was ethced with an ECR plasma etcher using CI2 gas. The etching selectivity was found to be about 1.0 for TaGeN/resist and about 20 for TaGeN/Cr. 275-nm L/S patterns with vertical sidewalls were successfully fabricated in TaGeN. There were no serious problems with the CD control or LER of etched TaGeN patterns.
The strong smoothing effect resulting from recent progress in multilayer deposition technology has a great influence on the imaging characteristics of mask blank defects. The imaging characteristics of such defects were investigated through accurate simulations employing the FDTD method; and the effect of multilayer smoothing on the aerial image was examined. Strong smoothing was found to suppress the degradation in the aerial image due to phase defects while at the same time giving rise to phase defects that are undetectable iwth a visible-light inspection tool. 3-dimensional simulations also indicated the existence of such defects. Moreover, the aerial image of phase defects after repair with an electron beam was also investigated. Repair was found to be effective when there was no smoothing, but not so effective when there was strong smoothing. Experimental verification of these results will be attempted in the near future.
The dependence of printability on the thicknesses of the TaN absorber and Ru buffer layers is investigated using 22-nm-wide semi-dense line patterns. Simulations are carried out using two sets of thicknesses corresponding to optical densities (OD) of 2 and 3. Thicker layers (i.e. larger OD) are found to yield a smaller linewidth variation when the exposure light is focused on the wafer. However, they also enhance the shadowing due to off-axis illumination on a mask, which degrades the pattern edge contrast of the aerial image under defocused conditions. Estimations of the linewidth variation and the pattern position shift for a focal latitude of ± 50 nm show that thinner absorber and buffer layers (i.e. OD = 2) are more practical than thicker ones. An examination of the reflectance loss of the Si cap layer on a multilayer blank due to oxidation reveals that it is essential to select an appropriate initial thickness of the Si to ensure sufficient reflectance after the Si is oxidized by contaminants.
In-plane displacement (IPD) of an extreme ultraviolet lithography (EUVL) mask in a flat state during the electrostatic chucking stage without friction is examined through simulations. For predicting IPD of an EUVL mask, a simulation model based on two-dimensional plane stress theory is developed. With regard to the absorber patterns both square and rectangle, film stress and absorber coverage dependency of IPD is investigated. Mitigation of IPD to the 1-nm level is possible by reducing absorber stress to ±100 MPa. The change in surface height caused by absorber film stress of ±500 MPa is less than 1 nm. The influence of change in surface height on image placement shift was found to be negligible because the image placement shift is 0.03 nm.
The effect of variations in the thickness of the multilayer of a mask blank on pattern printability was examined. The multilayer was assumed to consists of 40 Si/Mo bilayers. For a given total thickness, variations in the thicknesses of the individual SI and Mo monolayers produce such a small loss in reflectance that printability remains good for both binary and attenuated phase-shifting masks, even when such variations exist. On the other hand, variations in the total thickness shift the peak of the reflectance spectrum, which degrades the reflectance on a wafer. In this case, printability for a binary mask is determined simply by the reflectance loss on a wafer, while printability for an attenuated PSM is strongly influenced by variations in total thickness because they change the phase and attenuated reflectance.
Multilayer deposition is one of the key technologies for the fabrication of reticle blanks for extreme ultraviolet lithography (EUVL). Molybdenum/silicon (Mo/Si) multilayers deposited on mask blanks must have a high reflectance and a low defect density. To achieve this, ASET has developed a deposition system that employs ion beam sputtering (IBS). This paper presents some preliminary experimental results, such as the EUV reflectance and defect density of Mo/Si multilayers deposited with this system.
For an extreme UV lithography (EUVL) mask, one cause of phase defects is bumps and divots on the surface that are a few nanometers high. Though phase defects are believed to originate in the roughness of the underlying substrate, recent reports suggest that a molybdenum/silicon (Mo/Si) multilayer deposited by ion beam sputtering smooths out the roughness of the underlying substrate and thus relaxes the specifications for the surface roughness of glass substrates for EUVL masks. In this study, we analyzed this smoothing effect mainly through simulation studies. Our simulation is based on the string model and consists of two parts: the deposition of Mo and Si bilayers, and the resputtering of Mo and Si atoms form each layer. The simulation result based on ly on the deposition part suggest that a Mo/Si multilayer conformally coats the bumps and divots of the underlying substrate. These results are in good agreement with transmission electron microscope observations of Mo/Si multilayers deposited onto bumps and divots by magnetron sputtering. When resputtering is added to the simulation, both bumps and divots on the underlying substrate are smoothed out under the same conditions. These result suggest that resputtering is partially responsible for the roughness-smoothing effect that appears during the deposition of a Mo/Si multilayer.
In the fabrication of an EUVL mask, some of the highest temperatures probably occur during the dry etching step. The thermal response of an EUVL mask during dry etching was investigated through simulations. In order to estimate the power absorbed during dry etching, the temperature of a glass wafer was measured by observing the change in color of a heat-sensitive label pasted on it. The measured results were compared with simulation results obtained using a lumped heat capacity model. The absorption ration was found to be 0.25-0.35, and there was good agreement between the measured and simulation data. In order to calculate the time-wise change in temperature, an internal energy balance model based on a large number of small elements was developed. To handle mask deflection caused by the temperature gradient in the thickness direction, a first- approximation model based on spherical deformation and free boundary conditions was used. The temperature gradient in an EUVL mask that arises during dry etching was clarified. The amount of thermal deflection was found to be much smaller when masks are made on ULE of Si substrates than on quartz.
The characteristics of Ru film were examined to determine its suitability as a buffer layer for EUV mask patterning. When etched in an O2/Cl2 gas mixture with a high Cl2 content at a low total gas flow rate, Ru exhibited a high etching selectivity with respect to a-Si, the otp layer of a Mo/Si multilayer mirror. This could enable use of a simpler mask patterning process without any damage to the multilayer. The patterning of a mask with a TaN absorber layer and a Ru buffer layer was demonstrated. Etching the TaN with an Ar/Cl2 gas mixture yielded a high etching selectivity with respect to Ru of over 30:1. In addition, the use of Ru rather than SiO2 for the buffer layer improved the DUV inspection contrast of TaN mask patterns before and after buffer layer etching. Finally, Ru is etched more slowly than SiO2 by a focused ion beam, which makes it more suitable as a sacrificial layer during repair.
Tantalum nitride (TaxN) films were evaluated for use as the absorber material of masks for extreme ultraviolet lithography (EUVL). TaxN films deposited by DC sputtering using an Ar+N2 gas mixture had a low stress of less than 300 MPa, an amorphous-like structure, and a low deep ultraviolet (DUV) reflectivity. This film provides a DUV contrast of 30% with respect to the Mo/Si multilayer whose top is on Si layer. A TaxN film deposited using a Xe+N2 gas mixture was found to be better in the following ways: the stress was below 100 MPa, the change in stress was below 30 MPa, and the density was more than 1 g/cm3 higher. Furthermore, treating the surface of TaxN film with O2 plasma or sputtering a TaxO film on it using an Ar+O2 gas mixture improved the DUV contrast because the resulting surface has a lower DUV reflectivity than TaxN film. These results indicate that TaxN film is one of the most suitable materials for the absorber of EUVL masks.
To obtain a stable pattern profile for the SiO2 buffer layer of an EUVL (Extreme Ultraviolet Lithography) mask, the process latitude available under actual manufacturing conditions was examined by using a conventional spin wet etcher and trying to make the etching depth as uniform as possible. Generally, wet etching uniformity depends on the sequence of the paddling and swing of the etchant nozzle. A uniformity of 1.5%, which meets manufacturing requirements, was found to be obtainable with a special nozzle. This report details a process scheme for removing the buffer layer on the multilayer of an EUVL mask, and presents a method of inspecting the buffer layer along with some simulation results on the printability of residues near the pattern edge.
To obtain a vertical profile for the Ta absorber pattern of an EUVL (Extreme Ultraviolet Lithography) mask, the dry etching conditions were reconsidered. The experiments employed ECR (electron cyclotron resonance) plasma etching and Si wafers coated with a 40-nm-thick SiO2 buffer layer and a 100-nm-thick Ta absorber layer. Two-step etching was performed to obtain vertical pattern profiles. In the first step, the surface region of the Ta absorber was etched with a mixture of BCl3 and Cl2 gases to reduce the residue from the native oxide film. Then, the absorber was etched with Cl2 gas at a higher RF (radio frequency) bias power to obtain a vertical profile and smooth sidewalls. Furthermore, the thermal behavior of a standard 6- inch-square 250-mil. substrate was also simulated. It was found that the temperature rise of the substrate could be kept under 150 deg. Celsius.
Tantalum (Ta) and Ta-alloy films were evaluated for use as the absorber material of masks for extreme ultraviolet lithography (EUVL). It was found that Ta film with a stress below 100 MPa, a surface roughness of less than 1 nm rms, a film density of over 14 g/cm3, and a deposition rate of more than 50 nm/min could be obtained by DC sputtering with Ar gas. Experiments on delineating mask patterns in this film by using dry etching revealed that 250-nm line-and-space patterns could be formed. The alloys evaluated were TaGe and TaN. These films were found to have some better properties than Ta film, for example, less stress, a smaller change in stress, and a smoother surface. This is confirmed to be due to the fact that the alloy films are amorphous. Of particular note is that TaN film has a lower deep ultraviolet (DUV) reflectivity than either Ta or TaGe, thus providing higher contrast between the underlying multilayer and the absorber patterns of an EUVL mask during DUV inspection. However, TaN has a lower density than the other two films. So, our current results indicate that using Ta or TaGe for the bulk absorber material and covering that with a thin layer of TaN is a promising way to obtain the film properties required for EUVL mask patterns, including film density and DUV inspection capability.
Extreme UV lithography (EUVL) is a promising process for patterning devices for the 70-nm technology node and below. In order to fabricate low-defect EUVL-mask blanks, two kinds of cleaning techniques have to be developed. One is for cleaning a substrate before deposition of the Mo/Si multi- layer, since particles on the substrate can induce phase defects by altering the periodicity of the multi-layer. The other is for cleaning finished masks. Since the material generally used for pellicles are not transparent to EUV light, EUVL masks will not have a pellicle. The surface of such masks needs to be kept very clean, because particles on the mask are easily transferred to a wafer. This paper describes a new particle removal techniques developed at the ASET SPC Lab, called supersonic hydrocleaning . It was used to clean substrates before deposition of the multi-layer, and its effectiveness was evaluated.
In the fabrication of masks for EUVL, a combination of dry and wet etching was used to remove the SiO2 buffer layer. This technique greatly improves the pattern quality, yielding re-entrant shaped mask patterns with a steep SiO2 sidewall. Under proper conditions, etching results in the base of the sidewall being recessed around 5 nm from the edge of the Ta pattern. The strength of hydrofluoric acid (HF) solution was set to 3.3 percent to allow good control of the etching rate. A combination of dry and wet etching is an effective way to remove the SiO2 buffer layer because it can compensate for a variation of as much as 7.6 percent in the thickness of the SiO2 film before etching.
To obtain good optical properties in an EUVL mask, the substrate should not suffer any damage either during mask fabrication or during use. As one step in ensuring that this is the case, the surface roughness of patterns etched on a mask substrate was examined, since it reduces the reflectance of the substrate. The experiments involved coating a Mo/Si multilayer with a SiO2 buffer layer and a Ta absorber layer. After the absorber was dry etched with a mixture of Cl2 and BCl3 gases, dry etching was used to over-etch the buffer layer. It was found that dry etching with Cl2 + BCl3 provides both a smooth Ta surface (roughness: less than 1 nm rms) and good control of the etching depth, even though the Ta etching rate was very high (389.6 nm/min.). The results indicate that the process used in this study is quite suitable for making absorber patterns.
In order to investigate industrial applications of synchrotron radiation, Hyogo Prefecture is constructing a synchrotron radiation (SR) ring at the SPring-8 site. It will operate at an electron energy of 1.5 GeV. In September, 1998, the ring will be commissioned when the SPring-8 injector begins feeding electrons into it. We developed a beam line for EUVL under the industrial applications program. In addition, we are developing a three-spherical- mirror system for EUVL. The specifications of the exposure tool target the 0.1-micrometers generation on the SIA road map. This tool consists of illumination optics, a scanning and alignment mechanism, 3-aspherical-mirror optics, and a load- lock chamber for exchanging wafers. The exposure tool is installed in a thermal chamber located at the end of the beamline. Using this system, we plan to develop a 0.1-micrometers process and fabricate MOS devices with feature sizes of 0.1- micrometers and below.
The designed optical system, which included an illumination optics and an imaging optics, consists of only one grazing-incidence mirror and a two-aspheric-mirror optics with a synchrotron radiation (SR) as a light-source. The illumination optics provides uniform illumination and high-collection efficiency of radiation from the SR. Since there are only four bounces in this system, including the reflective-mask bounce, this optical system has higher throughput. A throughput of 20-30 wafers/hour is predicted with the designed optics. A one- quarter-size prototype of the designed imaging optics was constructed with the numerical aperture of 0.1 and the magnification of 1/5. The efficiency of 60 percent (obtaining dose per estimated one) was obtained with AZ-PN 100 resist in the experiment. A minimum pattern of 0.12-micrometers lines and spaces was printed on a 0.15-micrometers -thick layer of AZ-PN 100 resist.
A multilayer reflection mask is fabricated for soft x-ray projection lithography at a wavelength of 13 nm. A Mo/Si multilayer is deposited using magnetron sputtering to obtain high reflectivity at near normal incidence. Reactive ion etching in SF6 is applied to form a fine W absorber pattern with a thin SiO2 etch-stop layer. Observation of the resulting pattern profile with a scanning electron microscope shows a smooth reflective surface. Reflectivity measurement using a large- reflective-area sample indicates that the patterning process causes little damage to the multilayer. Projection imaging using a 20:1 Schwarzschild optic confirms that a 0.07-micrometers line-and-space pattern can be printed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.