We evaluated laser-induced damage thresholds (LIDTs) on silica glasses by two kinds of ultra-short soft X-ray laser pulses (13.5 nm, 70 fs, and 13.9 nm, 7 ps). The comparison of our experimental results and the reported values observed by nanosecond soft X-ray pulse revealed a pulse width dependence of LIDTs on silica glasses in the soft X-ray region. The relationship between the pulse width and LIDTs provided valuable discussions of the laser-induced damage mechanism.
The suppression of outgassing from the EUV resist is one of the significant challenges, which has to be addressed for realizing EUV lithography (EUVL). The outgassing might be the main contributor involved in the contamination of the mirror optics in scanners. This may result in reflectivity losses. The pragmatic outgassing test that utilizes the witness sample (WS) was used as a general method to quantify the outgassing level for commercially available chemically amplified resists (CAR). There are two types of contaminations. The first type of contamination involves a cleanable contamination that mainly comprises hydrocarbons that can be removed by the hydrogen radical cleaning. Another type of contamination includes the noncleanable contamination that remains on the WS even after hydrogen radical cleaning. Several outgas qualification results were evaluated at the EIDEC [1, 2]. The data indicated that contaminations by the CAR mainly comprised the cleanable contaminations. The data also indicated that there were almost negligible noncleanable contaminations from the CAR. EUV resist communities accelerate the development of high sensitivity resists to compensate the low power of the EUV source. Nonchemically amplified resist (nonCAR) with a new platform is a candidate for high sensitivity resists. The nonCAR includes some types of metal elements with high absorbance for EUV light. There is very limited research on the outgassing characteristics of the nonCAR. In this study, we considered an EUV exposure process in the actual EUV scanner and EUV resists were exposed in a hydrogen environment. A potential risk could result from the reaction of the hydrogen radicals generated by the EUV light with the metal elements in the nonCAR and the metal hydride outgases from the resist. This would result in a noncleanable contamination on the EUV mirror [3]. The knowledge with respect to outgassing from an organic metal complex is insufficient even in a vacuum condition. Hence, the study involved the preparation of certain types of organic metal complexes as model materials. Then, the outgassing evaluations for the materials were carried out as a fundamental studies in a vacuum condition. The results were reported in this study.
To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro-coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140 nm focus diameter on the defect using a Fresnel zone plate. This system directly observes the reflection and diffraction signals from a phase defect. The phase and the intensity image of the defect are reconstructed with the diffraction images using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect on a blank EUV mask. Phase distributions of these programmed defects were well reconstructed quantitatively. The micro-CSM is a very powerful tool to review an EUV phase defect.
To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro
coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 140-nm
focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and diffraction
signals from a phase defect. The phase and the intensity image of the defect is reconstructed with the diffraction images
using ptychography, which is an algorithm of the coherent diffraction imaging. We observed programmed phase defect
on a blank EUV mask. Phase distributions of these programmed defect were well reconstructed quantitatively. The
micro-CSM is very powerful tool to review an EUV phase defect.
In extreme-ultraviolet (EUV) lithography, mirror optics is coated with a Mo/Si multilayer film. Since throughput of an EUV system is directly depends on the multilayer film reflectance, we have developed a mask reflectometer to evaluate the reflectance in BL-10 beamline of the NewSUBARU synchrotron facility. In particular, the EUV output power from a EUV light source relates to the reflectance of a collector mirror. Thus, we installed a new large reflectometer in BL-10 beamline to evaluate the collector mirror reflectance. The reflectometer can measure a mirror with a diameter of up to 800 mm, a thickness of 250 mm, and a weight of 50 kg. The entire sample surface can be measured in spherical coordinate using vertical γ and rotation Φ axis. Each axis positions are measured with optical encoders precisely, and are controlled in closed-loop operation. We measured reflectance of an EUV mask using the large reflectometer and the mask reflectometer. The peak reflectance was well consisted with the two reflectometer within 0.1%. The large reflectometer has high reproducibility of the peak reflectance measurement.
In extreme ultraviolet (EUV) lithography, the three-dimensional (3D) structure of the EUV mask, which has an absorber layer and a Mo/Si multilayer on a glass substrate, strongly affects the EUV phase. EUV actinic metrology is required to evaluate the feature of defect printability and the critical dimension (CD) value. The 3D structure modulates the EUV phase, causing the pattern position and focus shift. A microscope that observes in phase contrast necessary. We have developed a coherent EUV scatterometry microscope (CSM) for observing EUV patterns with quantitative phase contrast. The exposure light is coherent EUV light. For the industrial use, we have developed a laboratory coherent source of high-harmonic-generation (HHG) EUV light. High harmonics is pumped by a scale of a Ti:Sapphire laser. In the previous study, a very long exposure time of 1000 s was necessary to detect We upgraded the relay optics. The detection performance of an absorber defect using the new relay optics is We observed the line-end oversize defect and the oversize defect in the 112 nm hole pattern and 180 nm hole pattern. The upgraded system has a detection size limit of a line-end 24-nm-oversize defect with 10 s exposure time, which is 2,688 nm2 (52 × 52 nm2) absorber defect. This result shows high performance capability of HHG-CSM for detecting small defect.
Our group previously explored methods for measuring simulation parameter for advanced chemically amplified (CA) resists, including development parameters [1]. Dill’s C parameter [2-3] , acid diffusion length generated from PAG [4], and de-protection reaction parameters [5-6]. We performed simulations of EUV resists using these parameters, the results of which allowed us to examine the conditions for reducing LER and improving resolution. This paper discusses a method for measuring the Dill’s B parameter, which had been difficult to measure with conventional methods. We also confirmed that enhancing the resist polymer’s EUV light absorption is effective in improving the sensitivity of the CA resist.
The suppression of extreme ultraviolet (EUV) photoresist-related outgassing is one of the challenges in high-volume manufacturing with EUV lithography (EUVL), because it contributes to the contamination of the EUV scanner mirror optics, resulting in reflectivity loss. Witness sample pragmatic outgas qualification has been developed into the general method for clarifying commercially available, chemically amplified resists. Preliminary results have suggested a linear correlation between contamination thickness in the electron-beam-based and the EUV-based evaluation systems. In fact, a positive relationship was observed between contamination thickness and exposure dose. However, recent experiments indicate that in some resists, this relationship is not linear. In the present study, a resist outgas model is proposed and tested to investigate the contamination thickness’ dependency on exposure dose. The model successfully explains the experimental outgas phenomenon. It is estimated that increasing exposure dose, in resists with low activation energies (Ea) in deprotection reactions, results in extreme increase in contamination thickness. Furthermore, the low-Ea resists have high contamination risk when exposure is extensive.
Influences of phase defect structures on extreme ultraviolet (EUV) microscope images were examined. Phase defects on the bottom of a multilayer (ML) do not always propagate vertically upward to the ML’s top surface. For this study, two types of masks were prepared. One was an EUV blank with programmed phase defects made of lines in order to analyze the inclination angle of the phase defects. The other was an EUV mask that consists of programmed dot type phase defects 80 nm wide and 2.4 nm high with absorber patterns of half-pitch 88-nm lines-and-spaces. The positions of the phase defects relative to the absorber lines were designed to be shifted accordingly. Transmission electron microscope observations revealed that the line type phase defects starting from the bottom surface of the ML propagated toward the ML’s top surface, while inclined toward the center of the EUV blank. At the distances of 0 and 66 mm from the center of the EUV blank, the inclination angles varied from 0 to 4 deg. The impacts of the inclination angles on EUV microscope images were significant even though the positions of the phase defect relative to the absorber line, as measured by a scanning probe microscope, were the same.
To evaluate defects on extreme ultraviolet (EUV) masks at the blank state of manufacturing, we developed a micro coherent EUV scatterometry microscope (micro-CSM). The illumination source is coherent EUV light with a 230-nm focus diameter on the defect using a Fresnel zoneplate. This system directly observes the reflection and scattering signals from a phase defect. The scattering distributions of 30-nm-wide defects were anisotropic due to interference with speckle from multilayer scattering. Thus, printability of the defects would depend on the defect position in the multilayer.
The effect of phase defect on extreme ultraviolet (EUV) lithography was examined using an EUV microscope. A test mask containing periodic absorber line patterns and programmed pit phase defects embedded in a multilayer-coated mask blank was prepared, and the mask patterns were observed by the EUV microscope developed by Tohoku University and constructed at the site of a beam line of the New SUBARU of the University of Hyogo. The half pitches of the absorber patterns were 64 nm and 44 nm at mask which corresponded to 16 nm and 11 nm device generations. The programmed defects included not only square-shape defects but also rectangular-shape defects with different orientations. When a phase defect was located between two adjacent absorber patterns, then the observation image intensity of the absorber lines and spaces (L/S) patterns varied, and the impact of a phase defect was predicted as an intensity variation of bright space image. Phase defect location dependency and defect shape dependency of the observation image intensity were examined. The effectiveness of the EUV microscope to predict the phase defect impacts was confirmed.
Influence of phase defect structures on Extreme Ultraviolet (EUV) microscope images were examined to predict the inclination angle dependency of the phase defect impact on wafers since the phase defect does not always propagate in a vertical direction from an EUV mask substrate surface through the multilayer. Two types of the programmed phase defect mask were prepared. One is an EUV blank with programmed bump phase defects to analyze the inclination angle of the phase defects. The other is a programmed phase defect EUV mask that consists of bump phase defects of 80-nmwide and 2.4-nm-high with half-pitch 88 nm lines-and-spaces pattern. The positions of the phase defects relative to the absorber lines were designed to be shifted accordingly. Transmission electron microscope observation revealed that the bump phase defects starting from the substrate surface propagated through the multilayer toward the center of the substrate as they reach the top surface of the multilayer. At the distances of 0 and 66 mm from the substrate center, the inclination angles varied from 0 to 4 degrees. The impacts of the inclination angles on EUV microscope images were significant even though the positions of the phase defect relative to the absorber line, as measured by a scanning prove microscope, were same.
The carbon contamination growth (CG) on the witness samples by resist outgassing during exposure were evaluated for
the model EUV resist samples having different protecting groups for chemical amplification. Four kinds of different
protecting groups were chosen to compare the effects of difference in activation energy for de-protection, the molecular
size and polarity of de-protected unit on CG. The residual gas analysis (RGA) measurements were also performed for all
samples. Those results were compared between EUV irradiation and e-beam irradiation. On the contrary to the original
expectation, it was found that the dependence of the activation energy on CG was small. From the results of RGA, it was
confirmed that the size of the protecting group does not also simply correlate with the outgassing amount or CG. In the
sample with relatively bigger protecting group we found larger outgassing amount than that with smaller protecting
group. The smallest outgassing amount and CG were given by the sample which has the polar de-protecting unit. It is
indicating that if there is the interaction between the outgassing molecules and the resist film components, the escaping
of the molecules from the resist film out to the vacuum is restricted, resulting in the small outgassing and small CG. All
of those features were same in EUV and e-beam irradiation.
The suppression of outgassing from extreme ultraviolet (EUV) resist needs to be addressed for realizing EUV lithography (EUVL) because outgassing is likely the main contributor to the contamination of mirror optics in EUV scanners, which results in reflectivity loss. Resist outgassing causes two types of contamination: cleanable contamination, involving hydrocarbon contaminants, and noncleanable contamination, involving noncarbon components. The relation of cleanable contamination between EUV- and electron beam (EB)-based evaluations is linear. However, the relation of noncleanable contamination is not clear. In this study, we investigated the contribution of EUV resist components to noncleanable contamination using different photoacid generator components. The cleanability of noncleanable elements (sulfur, iodine, chlorine, and bromine) in contamination films was measured and compared for the EUV- and EB-based outgas testers. The result suggested that the chlorine and bromine contaminants were completely removed after cleaning. On the other hand, sulfur and iodine remained even after cleaning. This suggested that the careful use of iodine in resist materials is necessary due to its high photoabsorption and low cleanability. In addition, the cleaning rate and noncleanability of contaminants in the EUV-based test were larger than in the EB-based test. This suggests that the contamination film in the EUV-based test is more porous than that in the EB-based test.
We have developed the standalone, coherent scatterometry microscope (CSM) for the inspection of extreme ultraviolet
(EUV) lithography mask. The low divergence, coherent high-order harmonic (HH) was generated as coherent light
source for CSM at a wavelength of 13.5 nm using a commercial laser system. The HH enable us to obtain the high
contrast diffraction image from the mask. The diffraction light from the 2-nm wide line-defect and tens-nm size
point-defects in the mask has been observed successfully with the system.
To predict the effect of a phase defect position relative to the absorber pattern on a wafer printed image, a programmed
phase defect mask was fabricated, and was observed using an extreme ultraviolet (EUV) microscope employing EUV
light from a beam line BL3 of the New SUBARU at the University of Hyogo. The mask prepared for this work contains
programmed phase defects along with half-pitch (hp) 64 nm lines-and-spaces (L/S) absorber patterns. The phase defects
were located at different locations in reference to the absorber lines. A lithography simulator predicted that when the
distance between the line center and defect center range from 26 to 102 nm, the prepared 1.8 nm-high and 57.4 nm-wide
phase defects would cause errors of more than 10 % in wafer printed critical dimension (CD). The EUV microscope
could identify these phase defects with the EUV light intensity losses of more than 17 % in comparison to the space
pattern image intensity in the absence of the phase defect. The EUV microscope can predict the existence of the phase
defect, and its impact on a wafer printed CD even where the EUV microscope does not completely emulate the image of
the EUV scanner.
In extreme ultraviolet (EUV) lithography, controlling the reflection phase of the mask pattern is important for enlarging
the process window and for compensating for phase defects. And, there are shadowing effect owing to the oblique
illumination which modifies reflection phase of absorber patterns. A phase imaging microscope is required to determine
this actinic phase distribution. To this end, we have developed a coherent EUV scatterometry microscope (CSM) based
on coherent diffraction imaging (CDI). The CSM consists of a coherent EUV source and a charge-coupled device (CCD)
camera, which records the diffraction images from the mask pattern directly. The system is lensless and makes use of the
inverse computations based on the intensity of the scattered radiation, instead of the image-forming optics, to retrieve the
frequency-space phase data. This allows the aerial-image phase data to also be reconstructed. Using the CSM system,
one can obtain the intensity and phase images of the sample pattern. In this study, we also reconstructed the phase images
of line-and-space patterns that were free of the shadowing effect as well as of patterns in which shadowing occurred. In
the case of the latter, shadowing could be observed clearly in the phase image. Finally, the phase image of a programmed
phase defect was also reconstructed and its phase value evaluated quantitatively. Thus, the CSM system is powerful tool
for developing phase-controlled masks.
In the experiments to evaluate outgassing of EUV resists, it was found that the amount of PAG anion and/or Fluorine observed on the witness sample (WS) was different for the variety of WS location and direction in the testing chamber. The XPS measurements showed there were about 10 times of Fluorine atoms on the WS which were put to face the exposing position of the resist on the wafer compared to that were put to turn the back on the wafer. As the Fluorine is the component of photo-acid-generator (PAG) of resists used in the experiments, it is thought that the PAG anion and/or its decomposed species have high sticking coefficient to the WS. The simulation was performed to understand the directivity of outgassing from the exposing point, using the Direct Simulation Monte Carlo (DSMC) method. The results indicated that the sticking coefficient of PAG anion is about 0.8, suggesting that the position and direction of WS in the chamber relative to the wafer strongly affects the amounts of deposition of the species having big sticking coefficients.
For extreme ultraviolet (EUV) mask evaluation, we have developed the coherent EUV scatterometry microscope (CSM),
which is equipped with a laboratory coherent EUV source for high-harmonic generation (HHG) and acts as a standalone
EUV tool. The CSM records the diffraction from mask patterns directly with a charge-coupled-device (CCD) camera,
which was illuminated with the coherent EUV light. The pattern image and the critical dimension values are evaluated by
using the diffraction image with iterative calculations based on coherent diffraction imaging. The 59th high-order
harmonic generation at a wavelength of 13.5 nm was pumped by a tabletop 6 mJ, 32 fs, Ti:sapphire laser system. EUV
output energy of 1 μW was successfully achieved. We observed the EUV mask using the HHG-CSM system. A very
small 2 nm-wide line defect was successfully detected while located in an 88 nm line-and-space pattern.
For high volume manufacturing (HVM) utilizing extreme ultraviolet (EUV) lithography, practical resist outgassing
qualification system is required. Witness sample (WS) testing systems using electron beam (EB) or low power EUV
light have been proposed as candidates, however some issues remain on how these alternative light sources, in
comparison to high power EUV, will affect resist chemical reactions and ultimately resist outgassing. In this paper, we
have investigated resist induced optics contamination by utilizing two types of WS test systems of high power EUV light
and EB sources. A correlation between these light sources is discussed, especially focusing on the resulting chemical
phenomena depending on resist material properties.
KEYWORDS: Inspection, Transmission electron microscopy, Photomasks, Extreme ultraviolet, Signal detection, Electron beam lithography, Deep ultraviolet, Multilayers, 3D modeling, Quartz
We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks
using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center (MBDC). We
used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase
defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which
multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes,
while SEMATECH's actinic inspection tool (AIT) was used to image defects and predict their printability. Defect
images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM)
dimensions. The printability of native and programmed defects was also investigated.
The most promising wavelength for the next generation EUV lithography in terms of maximizing throughput of an
optical system was found to be 6.63nm, where highest peak reflectivity is expected at this wavelength using
La(La2O3)/B4C structures. The optical throughput at 6.63nm is expected to be ~6 times lower than at 13.5nm due to the
higher resolution of multilayers at the smaller wavelengths.
La/B4C and La2O3/B4C multilayer structures were coated at RIT by using magnetron sputtering deposition technology.
EUV reflectivity of the multilayers was tested at CXRO and NewSUBARU. The round robin measurements
demonstrated a maximum deviation of 1.9% in the peak reflectivity and 0.0063nm in the peak position. The big
difference in the peak value can be explained by presence of the higher harmonics in the probe beam at NewSUBARU
which affected the accuracy of the measurements. The maximum peak reflectivity of 48.9% was measured from La/B4C
multilayer at 6.68nm. Maximum reflectivity of La2O3/B4C structure at this wavelength was 39.2% while reflectivity at
6.63nm was measured to be 42.68%. The measured band width of the reflectivity curves was about 20% lower than for
ideal structures. La2O3/B4C structure demonstrated a larger level of the imperfections resulting in much lower
performance.
EUV reflectivity of one of the La/B4C multilayers deposited in December 2000 was measured at NewSUBARU in
January 2011 and the results were compared with the measurements performed in January 2001 at CXRO. The
maximum reflectivity dropped from 42.6% to 37.6%. Reduction of the reflectivity band width from 0.044nm to 0.04nm
was also observed.
For actinic mask inspection and metrology, we have developed a coherent EUV scatterometry microscope (CSM) at
NewSUBARU of a synchrotron radiation facility. The CSM is composed of φ5-mm pinhole, turning and focusing
multilayer mirrors, a test EUV mask and a back-illuminated CCD camera. Thus this system is lens-less system, records
diffraction EUV light from a mask pattern, which is exposed with coherent EUV light. The CSM inspects defect on the
EUV mask by the coherent-diffraction-imaging method. Aerial images of periodic and aperiodic patterns on the EUV
mask were well reconstructed by the iterative calculation. Since the CSM data include only the diffraction intensity, the
missing phase information is reconstructed. A defect with 10-nm width was well inspected.
The CSM also evaluates critical dimension (CD) of the mask patterns by diffraction intensities. The mask is illuminated
with six-degree angle of the incidence, which equals to the EUV lithography scanners. The test EUV mask of 6025 glass
substrate has line-and-space (L/S) patterns of 22-nm nodes. Absorber thickness is about 70 nm. The CSM result is well
corresponding with the CD-SEM result at whole mask area. And, high repeatability of 0.3 nm (3φ) is achieved.
This presentation summarizes the relationships between resist outgassing and contamination deposition for EUV
resists, in the case of EUV irradiation with high illumination intensity (>100mW/cm2). These relationships were
obtained by determining the resist outgassing species by gas chromatography-mass spectroscopy (GC-MS) and the
contamination on optical elements by witness sample testing.
We constructed an extreme ultraviolet microscope (EUVM) system for actinic mask inspection that consists of
Schwarzschild optics and an X-ray zooming tube. This system was used to inspect finished extreme ultraviolet lithography (EUVL)
masks and Mo/Si coated substrates of ULE glass. And we have fabricated programmed phase defects on the blanks used for
inspection. The EUVM was able to resolve a programmed line-pit defect with a width of 40 nm and a depth of 10 nm, and also with a
width of 70 nm and a depth of 2.0 nm. However, a 75-nm-wide 1.5-nm-deep pit defect was not resolved. Also, the EUVM was able to
resolve a programmed hole-pit defects with widths ranging from 35 nm to 170 nm and depths ranging from 2.5 nm to 2.2 nm.
However, 20-nm-wide 1.5-nm-deep hole-pit defects were not resolved. These results agree with the simulation results perfectly. Thus,
in this study, one critical dimension of a pit defects was experimentaly estimated to be a width of 20 nm and a depth of 2.0 nm.
We have designed and synthesized molecular resist material, which has just only two part protecting groups in
one molecule (Prot-Mad-2). The resist can resolve below 30 nm hp pattern. We analyzed decomposition reaction using
Prot-Mad-2 at the un-exposed and exposed area quantitatively by taking advantage of its property of high purity and
simple structure. From the HPLC results, it was found that main decomposition reaction was deprotection of Prot-Mad-2.
The ratio of one part de-protected material (Deprot-1-prot-Mad-1) and fully de-protected material (Deprot-2) changed
with exposure dose. It was found that exposure dose of surface roughness maximum coincided with the exposure dose
where materials of two-part protection, one part de-protection and fully de-protection existed equally in the resist film.
Furthermore, dissolution rates of Prot-Mad-2, Deprot-1-prot-Mad-1 and Deprot-2 were totally different. It is considered
that surface roughness was generated by different dissolution rates in the presence of Prot-Mad-2, Deprot-1-prot-Mad-1
and Deprot-2. Our result suggests that reducing a variety of dissolution rates at exposed and un-exposed boundary is a
key to improve line edge roughness (LER).
In developing high sensitivity extreme ultraviolet (EUV) resists, we focused on the fact that EUV photon absorption by
chalcogen atoms is larger than that by carbon or hydrogen atoms. We chose this focus because it is considered that in
EUV the absorption of incident radiation by base polymers influences acid generation. To determine the effects of
introducing chalcogen atoms into base polymers under EUV exposure on lithography performance, we synthesized novel
co-polymers of novel methacylate monomers that included oxygen and sulfur atoms in acid-cleavable moiety as well as
polar monomers, and evaluated their sensitivity under EUV and ArF exposure. The sensitivity of polymers that were rich
in chalcogen atom content improved more under EUV exposure than under ArF exposure. We also used a highsensitivity
quadrupole mass spectrometer (QMS) to observe the outgassing species generated from these polymers under
EUV exposure in detail.
Lifetime of EUVL masks which are intentionally contaminated with carbon is investigated by comparing Si and Ru
capping layer. Carbon deposition is observed not only on the multilayer, but also on the absorber sidewall of the mask.
Deposited carbon on the sidewall during EUV exposure gradually varies mask CD and also induces the changes in the
wafer printability and dose in the scanner. In addition, we compare the effects of carbon contamination between Si and
Ru capped blank. Ru capped blank shows longer mask mean time between cleaning (MTBC) than Si capped blank by 25% in our experiments.
In order to improve EUVL resist characteristics, especially sensitivity, we have investigated two types of partially
fluorinated resist polymers. The one was side chain fluorinated PHS type resist polymers. The other was main chain
fluorinated resist polymers. Poly (p-hydroxystyrene) (PHS) type polymers with trifluorostyrene (TFSt) were synthesized
and characterized their sensitivity behavior. From this evaluation, we found that PHS contained TFSt unit had a high
sensitivity, keeping their etching durability. We expect that TFSt unit can work to enhance the resist sensitivity in PHS
based EUVL resist polymers. Main chain fluorinated polymers based FIT unit (FITMAd and FITAdOM) were
synthesized. FITMAd and FITAdOM showed high sensitivity compared to non fluorinated reference sample. From
molecular weight measurement, we infer that the polymer main chain of FITMAd can be decomposed by irradiating with
EUV light. The outgassing of FITMAd and FITAdoM were measured. There is no big difference between the total
outgassing of FIT polymers and that of non fluorinated acrylic sample. And small amount of Hydrogen fluoride (HF)
were detected. We infer that FITMAd and FITAdOM are decomposed then HF is generated under EUV exposure. From
these results, we expect that FIT unit can work to enhance the resist sensitivity and can act main chain decomposed resist
unit in EUVL resist polymers.
Low molecular weight materials that form a stable glass above room temperature offer several advantages comparison
with traditional linear polymers as patterning feature size decreases. Low molecular weight amorphous materials that are
free from chain entanglements with smaller molecular size and high density of sterically congested peripheral molecules
are expected to reduce the variations in line edge roughness (LER) at smaller feature dimensions. In addition, the small
uniform molecular size offers excellent processability, flexibility, transparency and uniform dissolution properties based
on elemental composition.
The evaluation of the novel low molecular weight amorphous resists was carried out both at NewSUBARU
synchrotron facility of University of Hyogo, and at ASET EUV process technology research lab. in Japan.
Newly synthesizes low molecular weight resist has shown high performance of sensitivity and resolution under EB or
EUV exposures and also etch resistance.
In this paper, we outline the design and synthesis of new molecular weight resists. The material properties,
photochemistry and the patterning capability of these newly synthesizes low molecular weight resist will be discussed.
This paper is described about fabrication and evaluation of the beam splitter used in an EUV region. This beam splitter
has to be as a free standing, stress control of multilayer is main subject. It is investigated that the dependence of the
intrinsic stress between a RF (DC) sputtering power and an argon pressure during the thin film deposition processes. At
the low argon pressure, molybdenum and silicon films showed both high compressive stress. However, at the high argon
pressure, the molybdenum and silicon films showed low tensile stress and low compressive stress, respectively.
Therefore, it was possible to fabricate a multilayer films with low tensile stress by optimizing the argon pressure and
applied RF power during deposition. Conclusively, a free-standing semitrasparent multilayer film of 8x22 mm area was
fabricated. It shows high reflectance and transmission of near 25% at the wavelength of EUV region.
We succeed in developing beneficial photoacid generator (PAG) for EUV exposure. In a high annealing type resist system in which poly-hydroxystyrene employed as a base resin, we found that sulfonium salts which employed cyclo(1,3-perfluoropropanedisulfone) imidate employed as a anion of PAG is more sensitive than perfluorobutanesulfonate employed as an anion of PAG under extreme ultraviolet (EUV) exposure. However, the sensitivities were different under EUV and electron beam (EB) exposures. It indicates that the distinctive acid production reaction is occurred under EUV exposure in comparing under EB exposure. As results of the time dependency mass spectroscopy and the Fourier Transform Infrared Spectroscopy (FT-IR), EUV induced reaction of cyclo(1,3-perfluoropropanedisulfone) imidate employed as an anion of PAG occurred more efficiently than that of perfluorobutanesulfonate employed as an anion of PAG.
We constructed the EUV microscope (EUVM) for actinic mask inspection which consists of Schwarzschild optics (NA0.3, 30X) and X-ray zooming tube. Using this system, EUVL finished mask and Mo/Si glass substrates are inspected. EUVM image of 250 nm width pattern on 6025 Grass mask was clealy observed. Resolution can be estimated to be 50 nm or less from this pattern. The programmed phase defect on the glass substrate is also used for inspection. By using EUV microscope, programmed phase defect with a width of 90 nm, 100 nm, 110 nm, a bump of 5 nm and a length of 400 μm can be observed finely. And the programmed phase defect of 100 nm-wide and 2 nm pit was also observed. Moreover, a programmed defect with a width of 500 nm is observed as two lines. This is because phase change produced with the edge of both sides of a programmed defect. Thus, in this research, observation of a program phase defect was advanced using the EUV microscope, and it succeeded in observation of the topological defect image inside a multilayer film. These results show that it is possible to catch internal reflectance distribution of multilayer under the EUV microscope, without being dependent on surface figure.
Extreme ultraviolet (EUV) lithography requires a vacuum environment for exposure. Therefore the understanding of the outgassing hydrocarbon ion species of the photoresist becomes important. Contamination due to the hydrocarbons affects the optics of the EUV tool such as the reflectivity of the mask and the imaging mirror.
We discuss here of the outgassing dependence of resist polymer structure and solvent type under the EUV irradiation. The resist components require photochemical stability and low evaporating species for EUV lithography.
The Methacryl backboned polymer has indicated larger outgassing value rather than PHS backboned polymer, which due to the quaternary carbon induced de-polymerization reaction. The main reaction is seemed to be due to the ester structure decomposed reaction. The selection of the basic polymer structure, ester ratio in the backbone and protecting group are very important for a low outgassing resist design.
Our results show resist which contain PGME, MAK, and MMP as the solvent, have lower outgassing characteristics under the EUV irradiation. This characteristic is own to the low residual solvent content in resist film prior to the EUV irradiation. As for results, the high annealing type CA resist based on the PHS polymer and PGME solvent have the lowest outgassing characteristics under the EUV irradiation.
It is proposed that at-wavelength EUV mask inspection system based on EUV microscope, which is the best way to observe the mask directly. Using this system, preliminary experiments to examine the pattern inspection of EUVL mask is carried out. EUV microscope has a capability to resolve 50 nm lithographic node finished EUVL mask. We confirmed that at-wavelength microscope rather than SEM is both powerful and useful for evaluating the mask fabrication process for EUVL. Furthermore, it is find out that the contrast of the mask images observed by EUVM influenced by the absorber material. As the result, important information of the finished EUVL mask can be obtained utilizing EUVM, which is very important tool for the finished EUVL mask inspection.
Utilizing ETS-1 laboratory tool in Himeji Institute of Technology (HIT), as for the fine pattern replicated by using the Cr mask in static exposure, it is replicated in the exposure area of 10 mm by 2 mm in size that the line and space pattern width of 60 nm, the isolated line pattern width of 40 nm, and hole pattern width of 150 nm. According to the synchronous scanning of the mass and wafer with EUVL laboratory tool with reduction optical system which consisted of three-aspherical-mirror in the NewSUBARU facilities succeeded in the line of 60 nm and the space pattern formation in the exposure region of 10mm by 10mm. From the result of exposure characteristics for positive- tone resist for KrF and EB, KrF chemically amplified resist has better characteristics than EB chemically amplified resist.
Extreme-ultraviolet phase-shifting point diffraction interferometer (PS/PDI) was studied by using the NewSUBARU undulator radiation. The wave-front error of a Schwarzchild test optics was measured. Since this is a common path PDI technique, optics pre-alignment is very important to receive enough power at the second pinhole. We carried out this pre-alignment by using the same common path PS/PDI system but by using a He-Ne laser. A temporal wave-front error attained by pre-alignment was 4.4 nm rms. We then studied band width requirement to carry out this PS/PDI in EUV. We found that the wavelength ((lambda) ) dependency of grating diffraction angle plays an important role in phase matching at the CCD camera location, although significant optical path difference exists at the edge of the fringe field. A 1 micrometers square double window experiment was carried out with (lambda) /(Delta) (lambda) is congruent to 30, and straight fringes were observed throughout the CCD field. A PDI experiment using larger pinholes compared with nominal sizes was also conducted, and various factors, which were posed onto the experimental results, were investigated.
Recently, extreme ultraviolet lithography (EUVL) becomes to be a candidate for the next generation lithography (EUVL) becomes to be a candidate for the next generation lithographic technology form 70 nm down to 35 nm. In this technology, multilayer films coating on the thick glass substrate is used. We have proposed the Cr absorber mask for EUVL using wet process. Cr absorber has been generally used as photomask, and been also supposed to be a promising material as absorber for EUVL mask because of its both high extreme ultraviolet (EUV) contrast and excellent chemical durability. The mask absorber pattern typically including the patterns of 0.35micrometers width lines and spaces (L&S) has been completely fabricated without degradation of the multilayer. Furthermore, the fine patterns width of less than 0.15micrometers have been successfully fabricated in the entire mask area of the 4-inch-diameter wafer. The EUVL mask has been evaluated with the EUVL laboratory tools at the beamline BL3 at the NewSUBARU synchrotron radiation facility. Line and spaces pattern width of less than 0.1micrometers are clearly replicated and isolated lines pattern width of 40nm are also replicated. We confirmed that the mask absorber pattern fabrication process using a wet process is useful for EUVL mask.
In the present ULSI cleaning processes, a photoresist is usually stripped by a combination of dry ashing by ozone (O3) with wet process of the piranha cleaning. The effects of cleaning process of the mask for the extreme ultraviolet lithography (EUVL) are discussed in these dry and wet processes. In dry ashing by O3, the virtual EUVL mask adhered organic contamination is tested. After removing the contamination using a dry ashing by O3, the surface roughness of the mask is decreased from -.54 nm (rms) to 0.42 nm (rms). Also, the periodic structure of the m ask is confirmed. The dry ashing by O3 is effective for removing a contamination of EUVL mask. In wet process, the piranha cleaning and typical RCA wet cleaning are employed at Mo/Si multilayer. The periodic structure of Mo/Si multilayer did not change after Piranha and RCA cleaning except FH solution in dipping long time. We confirmed the Mo/Si multilayer have enough tolerance to the Piranha and RCA cleaning.
The precise alignment of Extreme Ultra-Violet Lithography (EUVL) imaging system is necessary in order to achieve diffraction-limited performance. Interferometric testing at the exposure wavelength is needed to ensure proper alignment and to achieve an acceptable final wavefront. We have built a prototype at-wavelength interferometer at the NewSUBARU facility. This interferometer is a phase-shifting point diffraction interferometer (PS/PDI) testing specially constructed Schwarzschild optics. Preliminary experiments using visible light were performed in order to learn this PS/PDI. The Schwarzschild optics were aligned using visible wavefront measurements with the interferometer. The precision of the visible measurements was evaluated. Experiments using EUV radiation have been started.
The single layer chemically amplified resists are investigated for the extreme ultra-violet lithography. From the results of the sensitivity curve, the positive-tone resist of DP603 and the negative-tone resist of SAL601 have high sensitivities and high gamma values to the EUV exposure wavelength. Furthermore, by the optimization of both the dosage and the wafer focusing position, we succeed in replicating 0.056-micrometer-resist- pattern width on the exposure-field size of 10 mm X 1 mm on an 8-inches-diameter wafer. We confirm the resolution capability of the three-aspherical mirror imaging system that has been developed by the Himeji Institute of Technology.
A three-aspherical-mirror system for Extreme Ultraviolet Lithography has been developed. The aspherical mirrors were fabricated using the computer controlled optical surfacing (CCOS) process and a phase shift interferometer. The mirrors have a figure error of 0.58 nm and surface roughness of 0.3 nm. In order to obtain a high efficiency mirror, M1 and M2 were coated with a graded d-spacing Mo/Si multilayer and mirror M3 was coated with a uniform d-spacing Mo/Si multilayer. The peak reflectivity is 65% at the wavelength of 13.5 nm. The wavelength matching of each mirror spans 0.45 nm. The mirrors were aligned with a Fizeau-type phase shift interferometer, and a final wavefront error of less than 3 nm was achieved. Exposure experiments carried out at new Subaru synchrotron facility revealed that this system is capable of replicating a 56 nm pattern in a 10 mm X 1 mm exposure field.
A design of four-mirror imaging optics is presented for the wavelength of 13.5 nm. The numerical aperture (NA) is 0.15. The reduction ratio is 5:1. The exposure area on wafer is 0.5 mm (width) X 25 mm (chord length). The designed resolution is 50 nm with MTF approximately equals 60%. The distortion is less than 0.01% and the astigmatism is near zero at the designed ring field.
Extreme ultraviolet lithography (EUVL) is one of the candidates to fabricate a sub-0.1 micrometer-pattern. We have developed an Engineering Test Stand (ETS-0) which consists of three aspherical mirrors imaging optics for EUVL. This optics meets the specification of sub-0.1 micrometer generation. The key technology of EUVL is a development of reduction optics. The requirements of both figure error and surface roughness are less than 0.3 nm, and the wave-front error (WFE) of optical system has to be reached to be less than (lambda) /14 rms, where (lambda) is the exposure wavelength. Therefore, the high-precision fabrication and alignment techniques for the optics are required. We have developed the alignment procedure of three-aspherical-mirror optics to minimize the WFE, by the Fizeau-type interferometer using He-Ne laser ((lambda) equals 632.8 nm) and by the ray trace program (CODE-V). Namely, we have found the effective mirror-adjustment-axis to realize the high-precision alignment. The effective axis is decided by the priority for the adjustment axis. The priority is lead by two methods. One method is decided by the contribution to the WFE reduction that was calculated by CODE-V. The other method is decided by the correlation between the amount of decentration (shift for X-axis or Y-axis direction), despacing (shift for Z-axis direction), tilt of each mirror and the F.Z.- coefficients. The mirror is adjusted in the order of the priority of mirror axis. As a result, the WFE of 3 nm RMS has been achieved by using this alignment procedure in three- aspherical-mirror optics.
We have assembled and aligned projection optics for extreme ultraviolet (EUV) lithography. The projection optics consists of three aspherical mirrors. First, the positions of the mirrors were coarsely adjusted using the side and back surface of the mirrors. Next, the mirrors were finely aligned to minimize the wavefront errors which were measured by an interferometer. The adjustable axes were selected according to the results of the analysis of the allowable error range. The compensation values for each adjustable axis were calculated by commercially available ray-tracing software. After the alignment procedure, the wavefront error of 3 nm RMS was achieved.
A three-aspherical mirror system for extreme ultraviolet lithography (EUVL) has been developed. The mirrors were fabricated using a computer-controlled optical surfacing (CCOS) process and a phase-shift interferometer. The figure error of the mirrors is 0.58 nm. To achieve a high reflectivity in the clear aperture, Mo/Si multilayer films with an optimized d-spacing were successfully deposited on the mirrors. These results show that we have nearly achieved the target specifications for EUVL mirrors.
We report a progress in the aspherization of precision of optical surface by deposition of graded-thickness films onto spherical substrate. As a deposition film, we examined single layer and multilayer film. Mo/Si multilayer had small stress and small surface roughness up to the total film thickness of 1 micrometers , and is suitable for the thin film to fabricate mirrors in the EUVL camera. We demonstrate an aspherical mirror fabrication using mask deposition technique. The result shows good agreement between the measured and desired thickness profiles.
This paper describes the beamline optics for deep-etch x-ray lithography. In order to obtain a higher reflectivity than that provided by a mirror with a monolayer coating at photon energies of 4 to 6 keV, multilayer mirrors with a constant and graded d-spacing were developed. At an energy of 6 keV, a measured reflectivity of more than 80 percent and a bandwidth of 1 keV were obtained for a mirror with a Ni/C multilayer coating and a constant d-spacing. Moreover, it was found that, for energies form 4 to 6 keV, a multilayer mirror with a graded d-spacing provided a higher reflectivity and a wider bandwidth than a mirror with a Pt monolayer coating. A multilayer reflection mirror is a promising component of beamline optics for use in microfabrication and the structural analysis of materials.
EUVL employs a reflective mask consisting of a metallized pattern less than 100 nm thick on a state-of-the-art multilayer mirror deposited on a substrate. The key technologies needed for mask fabrication are coating equipment to make defect-free multilayer films and a low- damage mask fabrication process. Current repair and inspection technologies are advanced enough to handle a pattern size of 0.3 micrometers .
In order to investigate industrial applications of synchrotron radiation, Hyogo Prefecture is constructing a synchrotron radiation (SR) ring at the SPring-8 site. It will operate at an electron energy of 1.5 GeV. In September, 1998, the ring will be commissioned when the SPring-8 injector begins feeding electrons into it. We developed a beam line for EUVL under the industrial applications program. In addition, we are developing a three-spherical- mirror system for EUVL. The specifications of the exposure tool target the 0.1-micrometers generation on the SIA road map. This tool consists of illumination optics, a scanning and alignment mechanism, 3-aspherical-mirror optics, and a load- lock chamber for exchanging wafers. The exposure tool is installed in a thermal chamber located at the end of the beamline. Using this system, we plan to develop a 0.1-micrometers process and fabricate MOS devices with feature sizes of 0.1- micrometers and below.
Manufacturing for large-scaled integrated circuit requires a large exposure area and high throughput. According to the SIA road map, 16 Gbit DRAM requires exposure area of 26 mm X 44 mm for a 0.1-micrometers generation. In order to determine these feasibility, we designed at imaging optics which is based on three aspherical-mirror optics for EUVL. This designed optics is a very compact one, and the optics can achieve a resolution of less than 0.1 micrometers and an ring field of 26 mm X 1.0 mm on a wafer. In assembling the demagnifying optical system, various adjustment errors such as decenter, tilt and despace affect one another in an intricate way and degrade the system performance in a complicated manner. It is therefore important in practice to adjust the system as a whole rather than trying to optimize the effects of individual adjustment mirrors on the resolution by fulfilling respective tolerances. Another important factor affecting the system performance is surface figure error of aspherical mirrors. The surface figure error of aspherical mirror is estimated by calculation of ray tracing method. We obtained the tolerance of the figure errors of M1, M2, and M3 to be 0.66 nm, 0.75 nm, and 0.90 nm for replicating 0.1-(mu) nm-pattern, respectively. It is found that these values are twice or three times larger than the values obtained from Marechal criteria.
A new simulation method has been developed for evaluating the performance of soft x-ray optics for synchrotron radiation (SR) and for estimating tolerances for the fabrication and assembly errors of optics. The method is based on ray tracing and takes into account the surface figure error, thermal deformation, and SR source parameters. The method is applicable to aspheric mirrors and gratings with or without varied spacing and curved grooves. To evaluate the method, we applied it to the following two optical systems: (1) a Monk- Gillieson type monochromator on an undulator beamline of a third-generation SR source and (2) an extreme ultraviolet projection lithography system for SR from a superconducting compact electron storage ring. The results indicate that the method seems to provide realistic tolerances for the figure error (due to both polishing and heat load) and evaluation of the system performance, though more experimental data are needed to establish the validity of the method.
Mo-based multilayers show high reflectivities in the 8 - 20 nm region at normal incidence. We have evaluated the soft x-ray reflectivities and the effects of thermal annealing on both reflectivity and the layered structures of these Mo-based multilayers. The Cu-K (alpha) x-ray first-order Bragg-peak reflectivity of the Mo/Si multilayer markedly decreases at annealing temperatures above 400 degree(s)C. TEM observation reveals that the thermally induced deteriorations of Mo/B4C and Mo/SiC multilayers are smaller than those of the Mo/Si multilayer. The Mo/Si multilayer reflectivity at a wavelength of about 13 nm decreases greatly with 600 degree(s)C annealing. However, the Mo/B4C and Mo/SiC multilayers maintain higher reflectivities at the same wavelength. These results suggests that the Mo/B4C and Mo/SiC multilayers are superior to a Mo/Si multilayer in terms of thermal stability.
A soft-X-ray projection lithography system using multilayer mirrors has been developed. To determine the feasibility of a high throughput and a large exposure area, a reduction system consisting of two-mirror optics and a reflection mask were designed, fabricated, and assembled; and some trial replications of fine patterns were carried out. A full 4-inch wafer reflection mask was fabricated using a new process, and a high contrast and uniform quality throughout was obtained. Using the reflection mask, fine patterns of less than 0.25 micrometers and covering an area of 2 mm X 0.6 mm were faithfully replicated at a demagnification of 1/5.
Large area Mo/Si and W/Si multilayers used as Bragg reflectors for synchrotron radiation in soft and hard x-ray regions are fabricated using a newly developed alternating-material sputter deposition on 4-inch diameter substrates. Measurements using a surface profiler show a thickness uniformity of better than 1 deviation over the whole area of 4-inch diameter substrate. The reflectivity of the multilayers for CuKa radiation agrees well with theoretical calculations which include film surface roughness and interfacial roughness. The soft x-ray reflectivity measuring system consisting of the plane grating monochrometer and the reflectometer was developed. The Mo/Si multilayers consisting of 30 pairs of 2. 7-nm thick molybdenum and 4-nm thick silicon layers has been showed a peak reflectivity of 46 and a full-width at half-maximum value of 0. 56 nm at a wavelength of 13 nm. A double monochromators using this Mo/Si multilayer has a peak reflectivity of 19 and full-width at half-maximum value of 0. 37 nm at the same wavelength. W/Si multilayers consisting of 200 pairs of 1. 4-nm thick tungsten and 2. 9-nm thick silicon layers show a peak reflectivity of 8. 5 at a 2. 5-nm wavelength. These results show that high-quality multilayers for Bragg reflectors for synchrotron radiation can be fabricated by alternating-material sputter deposition. 1.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.