KEYWORDS: Finite-difference time-domain method, Photomasks, Chemical species, Transmission electron microscopy, Multilayers, Extreme ultraviolet lithography, Extreme ultraviolet, Monte Carlo methods, Atomic force microscopy, Inspection
Availability of defect-free masks is considered to be a critical issue for enabling extreme
ultraviolet lithography (EUVL) as the next generation technology. Since completely defect-free
masks will be hard to achieve, it is essential to have a good understanding of the defect
printability as well as the fundamental aspects of a defect that result in the defects being printed.
In this work, the native mask blank defects were characterized using atomic force microscopy
(AFM) and cross-section transmission electron microscopy (TEM), and the defect printability of
the characterized native mask defects was evaluated using finite-difference time-domain (FDTD)
simulations. The simulation results were compared with the through-focus aerial images obtained
at the SEMATECH Actinic Inspection Tool (AIT) at Lawrence Berkeley National Lab (LBNL)
for the characterized defects. There was a reasonable agreement between the through-focus
FDTD simulation results and the AIT results. To model the Mo/Si multilayer growth over the
native defects, which served as the input for the FDTD simulations, a level-set technique was
used to predict the evolution of the multilayer disruption over the defect. Unlike other models
that assume a constant flux of atoms (of materials to be deposited) coming from a single
direction, this model took into account the direction and incident fluxes of the materials to be
deposited, as well as the rotation of the mask substrate, to accurately simulate the actual
deposition conditions. The modeled multilayer growth was compared with the cross-section
TEM images, and a good agreement was observed between them.
Characterization of defects and their sources is essential for developing mitigation solutions to support the production of defect-free extreme ultraviolet (EUV) mask blanks. The characterization of sub-100-nm defects pose challenges to the conventional metrology techniques, such as atomic force microscopy and scanning electron microscopy, limiting mitigation of nanoscale defects. SEMATECH’s Mask Blank Development Center houses advanced metrology capabilities that include transmission electron microscopy (TEM) and Auger electron spectroscopy (AES) to address these shortcomings. Scanning TEM was used to study the disruption of the Mo/Si multilayer of phase defects and to perform elemental analysis with energy dispersive x-ray spectroscopy that has supported projects including substrate smoothing activities, deposition simulation development, and defect printability studies. The Auger instrument was used to create elemental maps for defect identification and to characterize the ion beam deposition tool. Using advanced metrology, mitigation of small defects is being realized, yielding mask blanks with defect counts as low as eight defects at 50-nm sensitivity (Lasertec M7360 SiO 2 sphere equivalent) measured over the quality area of 132×132 mm 2 . The issues with the metrology of increasingly small EUV mask blank defects will be outlined, and comprehensive defect characterization results using TEM and AES will be presented.
Characterization of defects and their sources is essential for developing mitigation solutions to support the
production of defect-free extreme ultraviolet (EUV) mask blanks. Enhancements to cleaning processes and the
deposition tool are proving to decrease the defect density on mask blanks, and the resulting defect trends can be
tracked to determine the effectiveness of these improvements. While standard defect characterization methods such
as atomic force microscopy (AFM) and scanning electron microscopy (SEM) can provide useful information for
large defects, sub-100 nm defects pose challenges to the current conventional metrology techniques. To address the
study of these nanoscale defects, SEMATECH's Mask Blank Development Center (MBDC) houses advanced
metrology capabilities that include Auger electron spectroscopy (AES) and high resolution transmission electron
microscopy (TEM). Both techniques are providing enhanced compositional analysis capabilities for defect
reduction efforts. TEM is proving to be a valuable technique for defect mitigation and is currently supporting many
other projects including substrate smoothing activities, deposition simulation development, and defect printability
studies. The rising issues with the metrology of increasingly small EUV mask blank defects will be outlined, and
comprehensive characterization results using TEM and AES on EUV mask blank defects will be presented.
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some
issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such
major issue, as evidenced by the research focused on defect printability. Inspection tools are needed to detect phase
defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture
defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for
repair and mitigation of printable defects is very difficult using DUV inspection tools; however, if the actinic
inspection tool (AIT) could gather defect information from more multilayer stacks, it may be able to separate
printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could
be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a
better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on
EUV blanks is therefore critical in mask making.
In this paper, we describe the characterization of native phase defects in the manufacturing of EUV mask blanks
using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center (MBDC).
Commercially available quartz substrates were used and Mo/Si multilayers were deposited on the substrates to
characterize phase defects. Programmed defects of various dimensions were also prepared using e-beam patterning
technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study
multilayer profile changes, while SEMATECH's AIT was used to image defects and predict their printability. A
defect library for native defects and printability of programmed phase defects is introduced. Finally technical
challenges for EUV defect printability will be discussed.
KEYWORDS: Photomasks, Transmission electron microscopy, Extreme ultraviolet, Multilayers, Extreme ultraviolet lithography, Ion beams, Surface roughness, Atomic force microscopy, Ions, Chemical species
Mitigation of pit-type defects proves to be a major hurdle facing the production of a defect-free mask blank for EUV
lithography. Recent efforts have been directed toward substrate smoothing methods during deposition. The angle of
incidence of the substrate is known to have a significant effect on the growth of defects during deposition. It has been
shown that shadowing effects for bump-type defects are reduced when depositing Mo/Si films at near-normal incidence,
resulting in a Gaussian growth profile in which the height and volume of the defect are minimized. Conversely,
operating at off-normal incidence reduces shadowing of pit-type defects. When altering the angle of incidence of the
substrate, the target angle must be changed to maintain uniformity. The resulting mask blank must also meet surface
roughness specifications post-deposition while maintaining a low defect density. In this study, various substrate angle
and target angle combinations were investigated within the Veeco Nexus Low Defect Density tool at SEMATECH to
find optimum in situ pit smoothing conditions using ion beam deposition on both quartz and low thermal expansion
material (LTEM) substrates. The possible substrate-target angle combinations are limited by the design of the current
deposition tool; therefore, a phase space has been mapped out to determine uniform and non-uniform regions. Other
deposition parameters including operating pressure and working gas composition were also explored. After deposition,
EUV reflectrometry measurements were taken to evaluate uniformity in the wavelength; surface roughness, change in pit
depth, change in full width at half maximum, and pit smoothing power were determined using atomic force microscopy
(AFM); transmission electron microscopy (TEM) was used to study the effect of film disruption through the multilayer;
and the printability of smoothed pits will be measure actinically using SEMATECH's AIT tool.
Preliminary results show that positive values for substrate angles in the uniform region tend to give a high surface
roughness after multilayer deposition; however, the combinations with negative substrate angles show promising results.
Substrate angles with lower values resulted in better smoothing than the higher substrate angles. AFM results confirmed
that pit smoothing power at lower substrate angles is greater than under the standard deposition conditions employed by
the tool. Lower chamber pressure was proven to increase the smoothing power of pit-type defects during deposition.
Preliminary TEM cross-section data confirmed the smoothing results obtained by AFM analysis. The use of Ne and Xe
as working gases is also under review. Extensive AFM analysis, TEM cross-sections, and printability data will be
presented.
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some
issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such
major issue and inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on
the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to
classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult
using deep ultraviolet (DUV) inspection tools; however, if the actinic inspection tool (AIT) could gather defect
information from more multilayer (ML) stacks, it may be able to separate printable defects from unprintable defects.
If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce
printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable
defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in
mask making.
In this paper, we describe the characterization of programmed ML phase defects in the manufacturing of EUV mask
blanks using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center
(MBDC). Programmed defects of various dimensions were prepared using e-beam patterning technology and Mo/Si
MLs were deposited with SEMATECH's best known method (BKM) and pit smoothing conditions on programmed
defects to characterize ML phase defects. Atomic force microscopy (AFM) and transmission electron microscopy
(TEM) were used to study ML profile changes, while SEMATECH's AIT was used to image ML phase defects and
predict their printability. Multilayer defect reconstruction (MDR) was done using AFM images, which were then
compared to TEM images. Defect printability simulation (DPS) was used for comparison to AIT through-focus
images. 22 nm, 27 nm, and 32 nm line and space (L/S) absorber patterns were positioned on top of programmed
ML phase defects and simulated defect printability. The ML phase defects are located at the edge of L/S patterns
and at the center of space patterns and Bossung plot was used to separate printable defects from unprintable defects.
KEYWORDS: Inspection, Transmission electron microscopy, Photomasks, Extreme ultraviolet, Signal detection, Electron beam lithography, Deep ultraviolet, Multilayers, 3D modeling, Quartz
We describe the characterization of native phase defects in the manufacturing of extreme ultraviolet (EUV) mask blanks
using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center (MBDC). We
used commercially available quartz substrates and deposited Mo/Si multilayers on the substrates to characterize phase
defects. We also prepared programmed defects of various dimensions using e-beam patterning technology on which
multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes,
while SEMATECH's actinic inspection tool (AIT) was used to image defects and predict their printability. Defect
images at different focal depths of the AIT are correlated to TEM cross sections and atomic force microscopy (AFM)
dimensions. The printability of native and programmed defects was also investigated.
Nano-machining repair tool plays an important role in the current 65 nm node photomask repair. It
removes defects mechanically with nanometer sized diamond tip with high accuracy and low damage using
high accuracy AFM data. The repair performance of nano-machining repair system largely depends on the
diamond tip whose aspect ratio decides the minimum reparable feature size. As the device shrinks to 45 nm
or 32 nm node, higher aspect ratio tip with weak structure is required. It is contradiction to the fact that
more accurate edge placement and better repair slope is required in smaller node repair, because deflection
or tip wear effect could happen in high aspect ratio tip. In this article, deflection and wear effect were
investigated in single layer repair recipe using SEM and AIMSTM. Multilayer recipe which complements
weak structure was estimated carefully, and some limits were discussed. Finally some requirements of
nano-machining repair system for 45 nm node were presented.
Dry etching has become critical to manufacture the resolution enhancement technique (RET) mask in the ArF
lithography. Among RET masks, alternating phase shift mask (PSM) and chrome-less phase lithography (CPL) mask
require the formation of 180 degrees phase differences by quartz dry etching. There are many error factors, which can
influence CD uniformities on mask and wafers, in Quartz dry etch step such as sidewall angle, phase MTT and
uniformity, micro-trench, and morphology. Furthermore, quartz depth is hard to control because there is no stopping
layer for quartz etching. Additionally, Pattern profile of Chrome layer is very important, because chrome profile affect
sidewall angle for quartz. We have simulated and investigated to identify the influences of many error factors on RET.
Consequently, we investigated characteristics of quartz dry etching process performance and the influences on
resolution, which can be improved by dry etch parameters.
A photomask dry etch process typically uses chlorine and oxygen plasma for chrome etching with resist masking. This gas mixture leads macro- and micro-loading as different pattern density with mask-to-mask and within a mask. Thus, there have been several approaches to reduce chrome etch loading by changing etch chemistry, etch conditions and mask materials. Using hard mask material on the chrome layer can minimize chrome etch loading and reduce chrome etch bias. In this paper, chrome etch characteristics which use hard mask materials is investigated.
Dry etching process is widely used in semiconductor field and in photomask manufacturing. Even though dry etching technique can be much better in obtaining straight profile and better CD (Critical Dimension) uniformity than wet etching technique, it has a severe problem in terms of defect issue. Especially, very tough controllability of defects is essential for the photomask dry etching process because defect can be printed on the wafer over. Therefore, we studied defect free photomask etching techniques and found out the possibility of particle evasion. With In-situ etching method, defect generation by MoSiON etching could be reduced compared to when standard etching process is used while the process result is almost same as that of the standard process.
In this paper, we will present the experimental result of in-situ. dry etching process technique for Cr and MoSiON, which reduces the defect level significantly.
As promising technologies for ArF optical lithography, CLM(Chrome-Less Mask) and alternating phase shift mask(PSM) technologies among RETs(Resolution Enhancement Techniques) for low k1 have been researched worldwide for a couple of decades. Quartz dry etching has become more critical to manufacture the mask with those technologies in the ArF lithography. Alternating PSM and CLM require the formation of 180-degree phase difference by quartz dry etch. There are many error factors, which can influence CD uniformities on mask and wafers, in dry etch step such as micro-trench, depth uniformity, sidewall angle, and morphology. Furthermore, quartz depth is hard to control because there is no stopping layer for quartz etch. Micro-trench, one of the important factors on quartz
etch, can drop light intensity on wafer. Therefore, micro-trench can deteriorate the RET. We investigated characteristics of micro-trench during quartz dry etch process and the influences on resolution, which can be improved by dry etch parameters.
In Embedded Attenuated PSMs(Phase Shift Masks), chrome residues on MoSiON, especially at the edge of a pattern, should be decreasing the phase-shift effect and it must be also causing CD(critical dimension) variations in a wafer-process. Chrome residues on MoSiON are well known being generated at second level lithography or according to performance of cleaning process before it. In this paper, we investgated the influence of treatment on Cr surface during MoSiON etch process using CF4 plasma and proposed the optimum treatment procedure to reduce the Cr residues originated form re-deposition of carbon-contained polymers in CF4 plasma.
As feature sizes of phase shift mask (PSM) have dropped below half-micron, resist adhesion have become a more critical issue, especially during second level lithography. Second writing process requires special consideration, because the resist's mechanical strength of resists on patterned chrome and patterned glass is smaller in comparison to that on the un-patterned chrome blank. If the adhesion strength is not sufficient to withstand the stress during subsequent processes, patterns will be damaged during second level lithography. Resists stress at pattern edges that subsequent processes, pattern will be damaged during second level lithography. Resist stress at pattern edges that weaken its adhesive property, together with the low mechanical strength of resists on glass, creates ample probability for the unwanted phenomenon in PSM process. In this paper, we investigate the effects of property and adhesive strength of resists on surfaces at different treatment before resist coating process, and observe the defects generation after different treatment.
Cleaning is one of the most important processes in photomask manufacturing, because the smallest particles may be printable on wafers. Moreover, mask cleaning requirements are stricter than that for wafers because masks are the master image from which all wafers prints will be made. We now face difficult challenges as we enter the 90nm era with 193nm DUV lithography and more prominent use of phase shifting applications. As defect sizes to be controlled in the cleaning process decrease, cleaning performance depends not only on conventional chemical treatments and megasonic hardware, but also on new cleaning methods such as UV/Ozone treatment. We investigated and compared the cleaning performance of UV/Ozone treatment + traditional chemical cleaning methods with standalone conventional wet chemical cleaning methods on glass, chrome, and MoSiON blank surfaces over pattern densities at 70% and 30% clear in the pattern area. Contact angle measurements and wettability tests were performed as well to evaluate cleaning performance results. The cleaning effectiveness with different drying methods on EAPSMs has been also investigated by controlling phase and transmission of KrF EAPSMs to within ±3'and ±0.3% respectively. Overall, it was found that the UV/Ozone pre-treatment combined with the traditional chemical cleaning process results in a better particle removal rate compared to conventional cleaning methods when it comes to removing the smallest mask particles., and it did not adversely affect EAPSM optical properties.
As critical dimensions (CDs) continue to approach the 90 nm node, it is inevitable that the industry has employed the use of chemically amplified resist (CAR) with 50 kV e-beam writing tool. However, the fogging effect by re-scattered incident electron at a high acceleration e-beam writer and the loading effect at dry etching step due to pattern density are critical issues since these effects make the variation of CD mean to target (MTT) and the degradation of CD uniformity. Tracking the CD error sources in CD uniformity and minimizing the error are very important task for high technology node mask production. In this paper, we focus on finding the source of the radial error in CD uniformity for each process step since the radial error occupy the main part of total CD uniformity. Also we present the radial error modeling using convolution equation between Gaussian CD error distributions with pattern densities. Finally, we describe the radial error correction method by phantom exposure with rectangle representing local pattern density. Fogging effect at writing process is one of the main sources of the radial error in global CD uniformity. The error by fogging effect is linearly proportional to mask pattern density, whereas loading effect at dry etch process increases the radial error in the case of the higher pattern density. The correction method using defocused beam based on our CD uniformity model effectively reduces the radial error and total error to 50% of their original value.
As the requirement of specification on photomask continues to be tightening with advanced logic and memory devices, the combined process of chemically amplified resist (CAR) and high acceleration voltage e-beam writing tool is widely used to meet the resolution and throughput for advance photomask fabrication. It is well known that the post exposure baking (PEB) condition makes serious effect on the characteristic of CAR due to its de-protection reaction with thermal acid catalyzation. In this paper, we present the PEB temperature effect on pattern resolution such as line edge roughness (LER) and proximity effect correction (PEC) latitude that is practical limitation in the combined process of 50 kV writng tool and CAR resist. Our results show that LER and PEC lattitude are strongly dependent on PEB temperature due to resist contrast variation. At higher PEB temperature, increasing the contrast value can reduce the LER and it can increase the optimum PEC latitude.
For the latest photomask fabrication, better critical dimension (CD) control and pattern fidelity to design size are required. According to the latest ITRS roadmap, masks for the 90 nm technology node should have CD uniformity of 6~8nm (3σ). Moreover, CD control is particularly critical for isolated opaque lines, such as those found in gate layers, whose loading is primarily clear field. The high acceleration voltage electron beam (EB) systems that employ variable shaped beams (VSBs) are used for mask writing due to their high throughput. To minimize write time and fogging effects, and to control mean CD and improve CD uniformity for mask production, it is well known that negative tone resists enable better VSB mask writing system performance. In these circumstances, positive and negative tone chemically amplified resists (CARs), FEP171 (Fuji Films) and FEN270 (Fuji-Films), were evaluated empirically for mask making. We investigated and compared resolution, sensitivity, resist profiles, CD variation vs. exposure dose, proximity effect correction (PEC), fogging effect, pattern fidelity, and so on. Furthermore, write tool data volume and throughput, defect trends, and other process parameters on the positive and negative tone resists were evaluated and compared by applying test patterns.
Embedded-attenuated phase shift masks (EAPSMs) have been an indispensable enabling technology for <130 nm node device fabrication. The precise etching of MoSiON is a key process in high quality phase shift mask manufacturing. Fluorine-based plasma chemistry has been used widely to date, but it is difficult to control CD (Critical Dimension) and phase uniformity. This stems mainly from the large Cr undercut and surface roughness due to the reaction of fluorine radicals with the phase shifting layer. In this paper, etch characteristics of the MoSiON layer using CF4, O2, and He in an inductively coupled plasma (ICP) were examined for the manufacturing of phase shift masks for 90-nm node design rules. The dependency of etch parameters such as ICP power, bias power (DC bias voltage), pressure, CF4 concentration, and O2 concentration on the etch properties of MoSiON were tested. We have investigated vertical slope, surface morphology, and minimum CD bias. This optimized process condition showed that intra-mask phase uniformity was below 1% (3-sigma) over a 135 mm × 135 mm mask area and transmittance uniformity was 8.68% ± 0.09%. CD uniformity was 5.5nm (3-sigma), CD linearity was 5.0nm over 300nm to 1300nm, and Cr-to-MoSiON CD bias was 2.0nm. The MoSiON profile was nearly vertical and the surface morphology was very clean.
The etch characteristics of MoSiON were investigated when chlorine was utilized as the main etch gas in an ICP dry etch system. MoSiON and glass are easily etched with fluorine-based plasmas such as: CF4, SF6, and CHF3. These plasma chemistries generate carbon-containing and fluorine-containing polymers as byproducts, which can be responsible for generating particles or hard defects on the mask during the MoSiON etch step. They also cause damage to the glass surface, manifesting themselves as a phase or transmission errors.
In this paper, new plasma chemistry was applied to etch MoSiON, and its characteristics were examined focusing on the effects of each parameter. These parameters included: ICP power, bias power, pressure, and gas concentration. It is difficult to etch MoSiON with good selectivity to Cr because MoSiON and Cr can be etched simultaneously after the photoresist layer has been removed. It will be shown how to increase the selectivity to Cr using an optimized process leveraging a new hardware modification. The etch characteristics of the chlorine plasma will also be compared to that of fluorine plasmas. It was found that using a chlorine plasma to etch MoSiON instead of a fluorine plasma yields good etch properties and good morphology.
In the photomask manufacturing, dry etch process is one of important process and the etch process mainly affects CD uniformity, skew, and Cr slope. We will present newly developed dry etcher system using TCP (transformer coupled plasma) source and its Cr etch performance. We will investigate the performance of TCP source for the uniformity, linearity, and loading effects. CD uniformity of 0.8 um Cr space pattern at 11 x 11 arrays with 135 x 135 mm2 area is below 8 nm and 15 nm in 3 sigma in case of ZEP7000 and IP3500 as resists, respectively. The skew (ASI - ADI) linearity of clear and dark CDs from 0.4 um to 2 um is below 35 nm in case of IP3500. The Cr loading characteristics of TCP source is investigated and the etch process parameter dependence on the loading is verified.
There is considerable interest in phase shift masks as a route to extending the resolution, contrast, and depth of focus of lithographic tools beyond what is achievable with the normal chrome mask technology. A problem that has so far hindered the introduction of phase shift masks has been the difficulty of phase and transmittance control when a phase shift mask is applied to practical use. Also, to apply phase shift layer (MoSiON), it remains that effects several critical mask parameters including sidewall slope, surface roughness, and critical dimension. For these reasons, this process requires a high degree of control of the etch process of shift layer. So in this paper, we described a technique for the fabrication of phase shift masks by etch rate of a MoSiON layer. Etching experiments of MoSiON were performed using different fluorinated gas mixtures. Four of them, CF4/O2/He, SF6/O2/He, CHF3/O2/He and Cl2/CF4/O2/He were chosen for high etch rate, sidewall slope, and surface morphology. Each added gases had a unique property on the etch rate, anisotropy, surface roughness and sidewall morphology. Result indicates that vertical slope and smooth surface are obtained using the Cl2/ CF4/O2/He and SF6/O2/He mixture. With increasing O2 flow rate to the SF6/O2/He Plasma and added Cl2 gas to the CF4/O2/He Plasma, the MoSiON etching profile becomes anisotropic without undercutting and trench profile. It is probably due to both increasing etch rate and sidewall passivation of Cl2 ion flux. When Cl2 gas was added to the CF4/O2/He Plasma, the small addition of chlorine was enough to protect the exposed sidewall of the undercutting, therefore, higher flow rate of chlorine had to be added to protect the sidewall of the undercutting by forming a sidewall passivation layer. These results show that both increasing O2 flow rate to the SF6/O2/He Plasma and the addition of Cl2 to the CF4/O2/He plasma are necessary in order to achieve a vertical profile and a smooth surface morphology.
KEYWORDS: Chromium, Etching, Signal detection, Photomasks, Signal processing, Absorption, Plasma, Signal to noise ratio, Optics manufacturing, Dry etching
Recently dry etcher system is a key process technology in preparation of photomask for next generation microelectronic device and endpoint detection system is an important part of the dry etch process, because mask CD control of Cr mask is more critical issues than before. In this paper, we describe real time endpoint system which is operated by optical emission multiple wavelength absorption for dry etch process of binary photomask. The end point detection system absorbs optical emission signal in real time, using optical cable from plasm chamber in dry etcher, and the signal is absorbed 200-800nm wavelength for a lot of grating manufactured by etch angel. The signal detects endpoint of process by association of one or several wavelength. We have tested newly developed EPD system and installed at PKLs dry etcher system, using various open area Cr mask with ZEP70000 resist. This study showed that multiple wavelength absorption technique is enough to detect endpoint down to 2 percent Cr loading masks and the EPD signal reproducibility was within 2 percent of EPD time at the same patterned masks.
The Cr etch rate is affected by Cr density to be etched at the photomask. Different mask-to-mask and within-mask pattern densities have made difficult to control the final CD. We have tested loading effect using binary Cr mask with ZEP7000 photoresist. The loading effect was evaluated for the masks fabricated at the various dry etch conditions with different within-mask Cr loading. The Cr etch rate and selectivity was observed at various process conditions and relations between parameters of dry etch process and Cr loading were evaluated. The horizontal and the vertical Cr etch rates were investigated and the process parameter dependence on the Cr loading was analyzed. The horizontal and the vertical photoresist etch rates were evaluated for the photoresist loading effect. The cause of mask-to-mask loading and within-mask loading are mainly from Cr loading and photoresists loading, respectively. The Cr loading is mainly affected by source power, pressure, and Cl2/O2 ratio. In our system, within-mask Cr loading is strongly dependent on the process parameters when the selectivity of Cr to PR is below 1. If uniformity and selectivity are acceptable, high DC bias, high ga flow, low pressure, and high Cl2/O2 ratio are recommended to reduce loading effect.
The Cr etch rate is affected by Cr density to be etched at the photomask and the Cr loading effect has become main obstacles to overcome for the next generation photomask process. Different mask-to-mask and within-mask pattern densities have made difficult to control the final CD (critical dimension). We have tested loading effect using binary Cr mask with ZEP7000 (3000 angstroms) photoresist. The loading effect was evaluated for the masks fabricated at the same process condition with the different mask-to-mask Cr loading and different within-mask Cr loading. The CD variations of dark field and clear field were observed and the phenomenological approach was proposed for the loading effect by some simplified equations.
We have manufactured dry etcher system for photomask process utilized the new plasma source and process optimizations have been done for CD (critical dimension) uniformity and loading effects. The 3 ? of CD uniformity(final CD - develop CD, point by point subtraction) of Cr pattern, with 132 x 132 mm2 area and 11 x 11 pattern arrays, was obtained below 10 nm, where the target CD is 0.8 um clear pattern. Cr and MoSi slopes are 88° ~ 90° , which shows highly anisotropic etch. The selectivity of PR to Cr was over 1.6 at the clear area ratios of < 50 % and the selectivity was mainly affected by oxygen partial pressure and clear area ratio. Phase uniformity for PSM was 180 ± 1° and transmittance uniformity is within 6.3 ± 0.02 %. Validity and probability of dry etcher system to produce next generation photomask were discussed.
As critical dimensions of photomask extends into submicron range, critical dimension uniformity, edge roughness, macro loading effect, and pattern slope become tighter than before. Fabrication of photomask relies on the ability to pattern features with anisotropic profile. To improve critical dimension uniformity, dry etcher is one of the solution and inductively coupled plasma (ICP) sources have become one of promising high density plasma sources for dry etcher. In this paper, we have utilized dry etcher system with multi-pole ICP source for Cr etch and MoSi etch and have investigated critical dimension uniformity, slope, and defects. We will present dry etch process data by process optimization of newly designed dry etcher system. The designed pattern area is 132 by 132 mm2 with 23 by 23 matrix test patterns. 3 (sigma) of critical dimension uniformity is below 12 nm at 0.8 - 3.0 micrometers . In most cases, we can obtain zero defect masks which is operated by face- down loading.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.