Sequential infiltration synthesis (SIS) is becoming an important tool for resist hardening and formation of unique nanostructures. SIS is a variant of atomic layer deposition (ALD), in which the organometallic precursors are allowed to diffuse into the polymeric substrate before condensation. In contrast to ALD, the extended diffusion time in SIS potentially allows for extensive penetration into the substrate. An important parameter in SIS is the affinity of the precursor with the polymer substrate. Differences in affinity can be exploited, for e.g., for generation of patterned structures within block copolymers. To date, the interactions between the precursor molecules, for example trimethyl aluminium (TMA) have been inferred from in situ or ex situ vibrational spectroscopy. Potentially much richer information can be gained from NMR and transmission FTIR spectroscopy of solutions of precursor and polymer. Fundamental studies of PMMA and TMA allow identification and screening of novel polymer substrates for SIS. Previous studies have provided broad design rules for SIS; e.g., highly-polar and strongly basic structures enhance uptake of precursors. The precursor molecules such as TMA are Lewis acids and hence will associate with functional groups having base character. We have investigated SIS polymers that incorporate a stronger Lewis base group, sulfinyl, in poly((2-methylsulfinyl) ethyl methacrylate) (PMSEMA). Details of the interactions between TMA and PMSEMA in solution, and as films, and comparison with a range of other materials, provide information on potential of these materials for SIS.
Photo-directed orientation control of block copolymer (BCP) domains is a powerful method for generating distinct regions of perpendicular and parallel-aligned lamella in a single film layer. In this study we demonstrate the versatility of Poly(aryl methacrylate) films for controlling the wetting behaviour of PS-b-PMMA films after UV irradiation. Upon exposure to UV light (254 nm), the surface polarity of Poly(aryl methacrylate) films changed due to the photo-Fries rearrangement of the aromatic ester groups. The switch of PS-b-PMMA alignment from parallel to perpendicular lamellar structures was demonstrated after UV exposure to appropriate doses of poly(aryl methacrylate) films. The UV dose required to switch alignment and orientation in a wide range of BCP films can be tailored by rational structural design of the poly(aryl methacrylate). This simple, rapid, cost-effective and flexible approach to controlling BCP orientation makes this photo-directing chemoepitaxy approach promising for block copolymer self-assembly applications.
Out of band (OOB) radiation from the EUV source has significant implications for the performance of EUVL photoresists. Here we introduce a surface-active polymer additive, capable of partitioning to the top of the resist film during casting and annealing, to protect the underlying photoresist from OOB radiation. Copolymers were prepared using reversible addition-fragmentation chain transfer (RAFT) polymerization, and rendered surface active by chain extension with a block of fluoro-monomer. Films were prepared from the EUV resist with added surface-active Embedded Barrier Layer (EBL), and characterized using measurements of contact angles and spectroscopic ellipsometry. Finally, the lithographic performance of the resist containing the EBL was evaluated using Electron Beam Lithography exposure
Metal oxide nanoparticle resists have recently emerged as next generation photoresist materials which exhibit promising performance for extreme ultraviolet lithography. In this present work, we are able to show our ability to synthesize and well characterize small uniform metal oxide nanoparticles, to present stability study of the nanoparticles in the resist solvent over time, to pattern ~20 nm features by electron beam lithography, and to provide an insight into the insolubilization mechanism of the resist system.
Directed self-assembly (DSA) is a promising approach for extending conventional lithographic techniques by being able to print features with critical dimensions under 10 nm. The most widely studied block copolymer system is polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA). This system is well understood in terms of its synthesis, properties, and performance in DSA. However, PS-b-PMMA also has a number of limitations that impact on its performance and hence scope of application. The primary limitation is the low Flory-Huggins polymer-polymer interaction parameter (χ), which limits the size of features that can be printed. Another issue with block copolymers in general is that specific molecular weights need to be synthesized to achieve desired morphologies and feature sizes. Here we explore blending ionic liquid (IL) additives with PS-b-PMMA to increase the χ parameter. ILs have a number of useful properties that include negligible vapor pressure, tunable solvent strength, thermal stability, and chemical stability. The blends of PS-b-PMMA with an IL selective for the PMMA block allowed the resolution of the block copolymer to be improved. Depending on the amount of additive, it is also possible to tune the domain size and the morphology of the systems. These findings may expand the scope of PS-b-PMMA for DSA.
Directed self-assembly (DSA) is a promising technique for extending conventional lithographic techniques by being able to print features with critical dimensions under 10 nm. The most widely studied block copolymer system is polystyreneblock- polymethyl methacrylate (PS-b-PMMA). The system is well understood in terms of its synthesis, properties and performance in DSA. However, PS-b-PMMA also has a number of limitations that impact on its performance and hence scope of application. The primary limitation is the low Flory-Huggins polymer-polymer interaction parameter (χ), which limits the size of features that can be printed by DSA. Another issue with block copolymers in general is that specific molecular weights need to be synthesized to achieve desired morphologies and feature sizes. We are exploring blending ionic liquid additiveswithPS-b-PMMAto increase the χ parameter. This allows smaller feature sizes to be accessed by PS-b-PMMA. Depending on the amount of additive it is also possible to tune the domain size and the morphology of the systems. These findings may expand the scope of PS-b-PMMA for DSA.
There is a growing need for new biodiagnostics that combine high throughput with enhanced spatial resolution and
sensitivity. Gold nanoparticle (NP) assemblies with sub-10 nm particle spacing have the benefits of improving detection
sensitivity via Surface enhanced Raman scattering (SERS) and being of potential use in biomedicine due to their
colloidal stability. A promising and versatile approach to form solution-stable NP assemblies involves the use of multi-branched molecular linkers which allows tailoring of the assembly size, hot-spot density and interparticle distance. We
have shown that linkers with multiple anchoring end-groups can be successfully employed as a linker to assemble gold
NPs into dimers, linear NP chains and clustered NP assemblies. These NP assemblies with diameters of 30-120 nm are
stable in solution and perform better as SERS substrates compared with single gold NPs, due to an increased hot-spot
density. Thus, tailored gold NP assemblies are potential candidates for use as biomedical imaging agents. We observed
that the hot-spot density and in-turn the SERS enhancement is a function of the linker polymer concentration and
polymer architecture. New deep Raman techniques like Spatially Offset Raman Spectroscopy (SORS) have emerged that
allow detection from beneath diffusely scattering opaque materials, including biological media such as animal tissue. We
have been able to demonstrate that the gold NP assemblies could be detected from within both proteinaceous and high
lipid containing animal tissue by employing a SORS technique with a backscattered geometry.
Overcoming the resolution-LER-sensitivity trade-off is a key challenge for the development of novel resists and
processes that are able to achieve the ITRS targets for future lithography nodes. Here, we describe a process that treats
lithographic patterns with aqueous solutions of block copolymers to facilitate a reduction in LER. A detailed
understanding of parameters affecting adhesion and smoothing is gained by first investigating the behavior of the
polymers on planar smooth and rough surfaces. Once healing was established in these model systems the methodology is tested on lithographically printed features where significant healing is observed, making this a promising technology for LER remediation.
Directed self assembly (DSA) of block copolymers is an emerging technology for achieving sub-lithographic resolution.
We investigate the directed self assembly of two systems, polystyrene-block-poly-DL-lactic acid (PS-b-PDLA) and PSb-
poly(methyl methacrylate). For the PS-b-PDLA system we use an open source EUVL resist and a commerciallyavailable
underlayer to prepare templates for DSA. We investigate the morphology of the phase separated domains and
compare the LER of the resist and the PS-PDLA interface. For the PS-b-PMMA system we again use an open source
resist, but the annealing conditions in this case require crosslinking of the resist prior to deposition of the block
copolymer. For this system we also investigate the morphology of the phase separated domains and compare the LER of
the resist and the PS-PMMA interface.
The transmission and localized electric field distribution of nanostructures are the most important parameters in the
plasmonic field for nano-optics and nanobiosensors. In this paper, we propose a novel nanostructure which may be used
for nanobiosensor applications. The effect of nanoholes on the plasmonic properties of star nanostructure was studied
via numerical simulation, using the finite-difference time-domain (FDTD) method. In the model, the material type and
size of the nanostructures was fixed, but the distance between the monotor and the surface of the nanoholes was varied.
For example, nanoholes were located in the center of the nanostructures. The simulation method was as follows. Initially,
the wavelength of incident light was varied from 400 to 1200 nm and the transmission spectrum and the electric field
distribution were simulated. Then at the resonance wavelength (wavelength where the transmission spectrum has a
minimum), the localized electric field distribution was calculated at different distances from the surface of the
nanostructures. This study shows that the position of nanoholes has a significant effect on the transmission and localized
electric field distribution of star nanostructures. The condition for achieving the maximum localized electric field
distribution can be used in nano-optics and nanobiosensors in the future.
Chain scissioning resists do not require addition of photoacid generators to function. Previously reported chain
scissioning polysulfone resists were able to achieve enhanced sensitivity by incorporation of absorbing repeat units, but
these groups also inhibited the depolymerization reaction, which could further enhance sensitivity. Here we report the
development of sensitive polysulfone chain scissioning resists for 193 nm that are able to undergo depolymerization. The
effect of depolymerization of LER is also discussed. These polymers underwent CD shrinkage upon overdose, which
may be useful for double patterning processes.
The commercialization of 32 nm lithography has been made possible by using double patterning, a technique that allows
for an increased pattern density, potentially, through resist freezing and high precision pattern registration. Recent
developments in directed self assembly (DSA) also uses resist freezing for stabilizing positive tone resists used in
graphoepitaxy. We have developed a method of patterning an open source, positive tone EUV resist using electron beam
lithography (EBL), and studied a novel way of freezing a positive tone EUV photoresists through electron beam induced
crosslinking. Through metrological analysis, crosslinked pattern was observed to retain consistent critical dimensions
(CD) and line-edge roughness (LER) after they were annealed at temperatures higher than the glass transition of the
photoresist. This process has been used to freeze patterned EUV photoresists, which have been subsequently used for
directed self assembly of PS-b-PMMA and has potential applications in double patterning in an LFLE scenario.
The feasibility of three polymer systems for use as non chemically amplified resists for 193 nm lithography are
discussed. The three systems are polycarbonates, polyphthalaldehydes and polysulfones. In general it was found that
increased absorbance resulted in higher sensitivity to 193 nm light. However, the exception to this was the
polycarbonates, which were found to undergo crosslinking due to an alkene group present in the polymer backbone.
Although polyphthalaldehydes were very sensitive, their absorbance values were too high to be useful in a commercial
environment. Absorbing polysulfones were found to be sensitive to 193 nm light and initial patterning results have been presented.
Some initial EUVL patterning results for polycarbonate based non-chemically amplified resists are presented. Without
full optimization the developer a resolution of 60 nm line spaces could be obtained. With slight overexposure (1.4 × E0)
43.5 nm lines at a half pitch of 50 nm could be printed. At 2x E0 a 28.6 nm lines at a half pitch of 50 nm could be
obtained with a LER that was just above expected for mask roughness. Upon being irradiated with EUV photons, these
polymers undergo chain scission with the loss of carbon dioxide and carbon monoxide. The remaining photoproducts
appear to be non-volatile under standard EUV irradiation conditions, but do exhibit increased solubility in developer
compared to the unirradiated polymer. The sensitivity of the polymers to EUV light is related to their oxygen content
and ways to increase the sensitivity of the polymers to 10 mJ cm-2 is discussed.
Initial studies are presented on the use of polysulfones as non-chemically amplified resists (non-CARs) for 193 nm
immersion lithography. Polynorbornene sulfone films on silicon wafers have been irradiated with 193 nm photons in the
absence of a photo-acid generator. Chemical contrast curves and contrast curves were obtained via spectroscopic
ellipsometry and grazing angle - attenuated total reflectance FTIR spectroscopy. Results were consistent with previously
reported mechanisms for the degradation of aliphatic polysulfones with ionizing radiation. It was shown that E0 values
could be reduced significantly by using a post exposure bake step, which propagated depolymerization of the polymer.
Initial patterning results down to 50 nm half pitch were demonstrated with EUV photons.
Three strategies for approaching the design and synthesis of non-chemically amplified resists (non-CARs) are presented.
These are linear polycarbonates, star polyester-blk-poly(methyl methacrylate) and comb polymers with polysulfone
backbones. The linear polycarbonates were designed to cleave when irradiated with 92 eV photons and high Tg alicyclic
groups were incorporated into the backbone to increase Tg and etch resistance. The star block copolymers were designed
to have a core that is sensitive to 92 eV photons and arms that have the potential to provide properties such as high Tg
and etch resistance. Similarly the polysulfone comb polymers were designed to have an easily degradable polymer backbone
and comb-arms that impart favorable physical properties. Initial patterning results are presented for a number of
the systems.
Generation-three (Gen-3) immersion lithography offers the promise of enabling the 32nm half-pitch node. For Gen-3
lithography to be successful, however, there must be major breakthroughs in materials development: The hope of
obtaining numerical aperture imaging ≥ 1.70 is dependent on a high index lens, fluid, and resist. Assuming that a fluid
and a lens will be identified, this paper focuses on a possible path to a high index resist. Simulations have shown that
the index of the resist should be ≥ 1.9 with any index higher than 1.9 leading to an increased process latitude.
Creation of a high index resist from conventional chemistry has been shown to be unrealistic. The answer may be to
introduce a high index, polarizable material into a resist that is inert relative to the polymer behavior, but will this too
degrade the performance of the overall system? The specific approach is to add very high index (~2.9) nanoparticles
to an existing resist system. These nanoparticles have a low absorbance; consequently the imaging of conventional
193nm resists does not degrade. Further, the nanoparticles are on the order of 3nm in diameter, thus minimizing any
impact on line edge roughness (LER).
A robust quantitative structure property relationship (QSPR) model with five parameters has been developed from 126 organic compounds for the prediction of refractive index at 589 nm. The model and the knowledge of the refractive index dispersion were used in the rational design of new materials for 193-nm immersion lithography. The significance of this model is that the structural descriptors can be readily calculated and the factors that significantly affect refractive index can be easily identified and used to guide the selection of candidates. Using this model, rapid screening of large structure databases is possible in order to find candidates. As an example of this approach, the synthesis of the copolymer of a trithiocyclane-methacrylate derivative, identified by the model, with 2-methyl adamantyl methacrylate is described. The measured refractive index of the copolymer at 589 nm agrees well with the value predicted by the model. The new polymer showed a 9.4% increase in refractive index at 193 nm compared with the standard ArF resist.
We present the initial results of the development of a qualitative structure property relationship (QSPR) model to guide
in the design and synthesis of high-sensitivity, non-CAR materials for EUV lithography. The model was developed
using the fragmentation data of low molecular weight species at 70 eV using a mass spectrometer (MS) with an electron
ionization source as the input parameter. The preliminary model has highlighted a number of structural elements which
will be important in the future design of resists, however, limitations with the current set of input data for molecules
which fragment readily have been identified and these are currently being addressed. Additionally, a correlation
between &ggr; (1 MeV) and EUV (92 eV) radiolysis of selected polymers has been established and it is proposed that the
higher energy (1 MeV) irradiation source is a suitable model process for EUV and can, therefore, be used in the future
screening of polymeric materials.
A preliminary Quantitative Structure Property Relationship (QSPR) model for predicting the refractive index of small
molecules and polymers at 193 nm is presented. Although at this stage the model is only semiquantitative we have found
it useful for screening databases of commercially-available compounds for high refractive index targets to include in our
program of synthesis of high refractive index resist polymers. These resists are targeted for use in 2nd and 3rd generation
193 nm immersion lithography. Using this methodology a range of targets were identified and synthesized via free
radical polymerization. Novel resist polymers were also synthesized via Michael addition polymerization. Preliminary
dose to clear experiments identified a number of promising candidates for incorporation into high refractive index resist
materials. Furthermore, we have demonstrated imaging of a high index resist using water-based 193 nm immersion
lithography.
To be able to extend the 193 nm immersion lithography technology platform, the development of high refractive index immersion fluids and resists is required. This paper reports our investigations into generating high refractive index polymers for use in photoresist formulations for 193 nm immersion lithograph. In this study a series of model compounds have been screened for refractive index and transparency at 589 nm and 193 nm. For the compounds studied this series of experiments demonstrated that sulfur-containing compounds have a positive effect on the refractive index of a molecule at 589 nm. However, the situation is complicated by the presence of absorption bands for some small molecules in the low waveleingth region. To demonstrate this, we examined the refractive index dispersion of a series of molecules based on ethyl acetate with varying degrees of sulfur substitution. These results indicated that an anomalous increase in refractive index could be expected 20 - 30 nm above the absorption maximum. The implications for design of high refractive index resists for 193 nm immersion lithography are discussed.
A critical aim within the field of 193 nm immersion lithography is the development of high refractive index immersion fluids and resists. Increases in the refractive index (RI) of the immersion fluid will result in increases in the numerical aperture and depth of focus. Increasing the RI of resist polymers will improve exposure latitude for the process. A challenge for increasing the RI of resist polymers is to do so without detrimentally affecting other properties of the polymer such as transparency, line edge roughness, adhesion and plasma etch resistance. It is well known in the literature that introducing sulfur, bromine or aromatic groups into a polymer structure will increase its RI. However, due to the relatively strong absorption of phenyl groups at 193 nm these groups have to be avoided. Furthermore, the use of bromine poses problems associated with contamination of the silicon wafer. Hence, in this study, a systematic approach has been used to increase the sulfur content of 193 nm type resist polymers, by synthesis of sulfur-containing monomers and by performing bulk modifications of the polymer. The effect of sulfur content on the RI at 193 nm was then investigated. A broad study of the relationship between molecular structure and RI dispersion from 250-180 nm has also been undertaken, and conclusions drawn using QSPR methodologies. Finally, the effect of sulfur content on other lithography parameters, such as transparency, adhesion and plasma etch resistance, was also evaluated.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.