Line width roughness (LWR) control is a critical issue in extreme ultraviolet lithography (EUVL). The
difficulty of controlling LWR and the need to minimize it have grown as the sensitivity of materials and
resolution in the resist patterning process has improved. Another critical feature that has become difficult to
control in EUVL and 22nm half-pitch systems is pattern collapse. The increase of aspect ratio that comes from
further scaling promotes the onset of pattern collapse. Both pattern collapse and LWR are easily observed in
EUVL and leading-edge ArF immersion lithography.
This paper will demonstrate recent gains in LWR control in leading EUV films using track-based processes,
etch-based improvements, and the results of combined techniques. Also the use of a newly developed EUV-specific
FIRM™ rinse chemistry to reduce pattern collapse will be discussed along with future development
activities and industry requirements for both LWR and pattern collapse.
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-30 nm features. We characterized EUVL
readiness of the three major resist platforms for sub-30 nm half-pitch (HP) manufacturability using a full-field ASML
alpha demo tool (ADT) scanner and studied the extendibility of EUV chemically amplified resist (CAR). Based on an
"M-factor" analysis, which shows the maturity of EUV resist for 28 nm HP manufacturability, a polymer-bound
photoacid generator (PAG) resist was 78% ready, a PHS hybrid resist was 81%, and a molecular glass EUV resist was
58%. The polymer-bound resist showed good resolution for 25 nm HP using the ASML ADT. It also demonstrated fair
linewidth roughness (LWR) and a good lithographic process margin of 18% exposure latitude (EL) and 160 nm depth of
field (DOF) for 28 nm HP patterning compared with the other resist platforms, but its resist collapse and etch resistance
need to be improved for manufacturability. PHS hybrid resist showed a fair etch resistance and resist collapse
performance compared to the other resist platforms, but LWR needs to be improved. The molecular resist needs to
mature further, especially in resist collapse and iso-dense (ID) bias. When considering its many strong points and control
of lower acid diffusion, the polymer-bound PAG resist appears to be the most suitable platform for manufacturability and
EUV CAR extension. We therefore would like to encourage the development of next generation polymer-bound PAG
resist with a higher etch resistance.
A process window of 80 nm DOF was demonstrated for 26 nm HP patterning and a measurable DOF for 25 nm HP was
achieved with the polymer-bound PAG resist. Resist collapse and LWR are major issues for 22 nm HP patterning in
manufacturing. LWR improvements were achieved with various techniques, and resist collapse was greatly improved
with a novel approach that uses a residual resist layer. 16 nm HP line/space (L/S) image modulation and 18 nm HP
resolution were demonstrated with an EUV CAR, indicating that EUV CAR could be extended to sub-20 nm HP
patterning.
The effect of higher film quantum yields (FQYs) on the resolution, line-edge roughness, and sensitivity (RLS)
tradeoff was evaluated for extreme ultraviolet (EUV, 13.5 nm) photoresists. We determined the FQY of increasingly
high levels of an iodonium photoacid generator (PAG) using two acid detection methods. First, base titration methods
were used to determine C-parameters for acid generation, and second, an acid-sensitive dye (Coumarin-6) was used to
determine the amount of acid generated and ultimately, to determine absorbance and FQYs for both acid detection
methods. The RLS performance of photoresists containing increasing levels of PAG up to ultrahigh loadings (5-40 wt%
PAG) was evaluated. RLS was characterized using two methods:
• KLUP resist performance
•Z-Parameter (Z = LER2*Esize*Resolution3)
Extreme ultraviolet lithography (EUVL) is the most effective way to print sub-32 nm features. We have assessed EUVL
resist readiness for 32 nm half-pitch (HP) manufacturing, presenting process feasibility data such as resolution, depth of
focus (DOF), line edge roughness/line width roughness (LER/LWR), mask error enhancement factor (MEEF), resist
collapse, critical dimension (CD) uniformity, post-exposure delay (PED) stability, and post-exposure bake (PEB)
sensitivity. Using the alpha demo tool (ADT), a full field ASML EUV scanner, we demonstrate the feasibility of a k1
~0.593 resist process for 32 nm HP line/space (L/S) patterning. Exposure latitude (EL) was 13% at best focus, and DOF
was 160 nm at best dose using a 60 nm thick resist. By incorporating a spin-on underlayer, the process margin could be
improved to 18.5% EL and 200 nm DOF. We also demonstrate ADT extendibility using a state-of-the-art EUV
platform. A k1 ~0.556 resist process was demonstrated for 30 nm HP L/S patterns, providing a 13% EL, 160 nm DOF,
and a common process window with isolated lines. 28 nm HP patterning for a k1 ~0.528 resist process could be feasible
using a more advanced resist with improved DOF and resist collapse margin.
As the semiconductor industry continues to follow Moore's Law by continually shrinking linewidths, DUV lithographic capacity is increasing. This greater capacity has increased the use of 248nm DUV lithography for all levels particularly applications such as metal and implant layers. Smaller features have required that more advanced implantation techniques be employed. These include greater control of implant depth, gradient, and lateral ion movement. These tighter requirements on the implant process naturally necessitate advanced requirements on the photoresists used in these processes. This paper will discuss the design criteria necessary to develop an advanced DUV resist for a variety of implant layer sand will show resist performance for these applications.
KEYWORDS: Deep ultraviolet, Lithography, Phase shifts, Bridges, Polymers, Photoresist materials, Manufacturing, Signal to noise ratio, Reticles, Temperature metrology
While positive photo resists make up the majority of the DUV market, negative resists have gained acceptance in IC manufacturing. Typically, negative photo resists can be used for a wide variety of feature types with minimal print bias including posts, lines/spaces and isolated lines. In some instances, negative resist are being investigated to print trenches and contact holes. Although negative resists are promising, there has been one issue. Dense line resolution has been limited by the onset of microbridging. Currently, minimum resolution for equal lines and spaces is about 200 nm with 0.26N developer, using conventional illumination. Recent developments in negative DUV resist technology have eliminated microbridging in 0.26N developer and has resulted in a significant increase in resolution. In addition to resolution and overall lithographic performance for sub 200 nm features, the PEB sensitivity, PED stability and other key resist performance capabilities will be demonstrated.
This paper reveals a methodology for substantially eliminating footing on native TiN substrates. The first generation DUV resists, such as APEX-E, reported a foot size of > 100 nm. The large foot size severely limited the capability of these resists. This paper introduces a superior resist for TiN substrate footing, TitaNTM photoresist, with a foot size of only 13 nm at 250 nm feature sizes. This resist also has high resolution and fast photospeed, and good process windows for isolated and dense structures. The key design concept was to reduce the surface inhibition at the resist/TiN interface. The key chemistry to accomplish this reduction in surface inhibition is to generate stronger acids at the resist/TiN interface. We also considered high and low acrylate polymers. There was little difference in footing for the high and low acrylate polymers, however, the low acrylate polymer shows superior etch resistance necessary for metal levels.
This new photoresist system extends the capability of the ESCAP platform previously discussed. (1) This resist material features a modified ESCAP type 4-hydroxystyrene-t-butyl acrylate polymer system which is capable of annealing due to the increased stability of the t-butyl ester blocking group. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus previous DUV resists, APEX and UV2HS. Improved stabilization of chemically amplified photoresist images can be achieved through reduction of film volume by film densification. When the host polymer provides good thermal stability the soft bake conditions can be above or near the Tg (glass transition) temperature of the polymer. The concept of annealing (film densification) can significantly improve the environmental stability of the photoresist system. Improvements in the photoacid generator, processing conditions and overall formulation coupled with high NA (numerical aperture) exposure systems, affords linear lithography down to 0.15 micrometer for isolated lines with excellent post exposure delay stability. In this paper, we discuss the UV4 and UV5 photoresist systems based on the ESCAP materials platform. The resist based on this polymer system exhibits excellent delay stability and enhanced etch resistance versus APEX-E and UV2HS. Due to lower acrylate content, the Rmax for this system can be tuned for feature-type optimization. We demonstrate sub-0.25 micrometer process window for isolated lines using these resists on a conventional exposure tool with chrome on glass masks. We also discuss current use for various device levels including gate structures for advanced microprocessor designs. Additional data will be provided on advanced DRAM applications for 0.25 micrometer and sub-0.25 micrometer programs.
The effect of onium salt structure in partially blocked poly(p- vinyl)phenol/photoacid generator (PAG) resist matrices on resolution, inhibition, and postexposure delay stability is reported. The PAG structure, M+X-, was varied such that M+ was either triphenyl sulfonium (TPS+) or diphenyl iodonium (DPI+), and X- represented trifluoromethanesulfonate (TFA-) toluenesulfonate (TSA-), camphorsulfonate (CSA-), and hexadecylsulfonate (HDSA-). The relative photospeed of these resists corresponded to the relative pKa of the acid generated from the anoin, TFA>TSA>HDSA>CSA. The resolution of the resists using TPS+ were better than that of the DPI+-based resists. The best PAG from a resolution standpoint was triphenylsulfonium tosylate. The TPS+TSA- also showed the lowest measured diffusion coefficient, D equals 1.1 X 10$=-4) micrometers 2/s. However, variation in PAG structure did not show any advantage in postexposure delay stability, with all the resists studied showing either 't-top' or scumming as failure modes. The larger acids, CSA and HDSA, showed a greater tendency to scum over a one hour delay period, which may indicate less acid evaporation, and more lateral diffusion to unexposed areas. The smaller acids, TSA and TFA, both showed strong 't-top' formation which is due to their increased acid volatility.
Deep UV photochemical patterning of chemisorbed metal-binding (ligating) organosilane films has been previously used to demonstrate sub-0.5 micrometers patterned additive metallization by electroless deposition. Significant photospeed advantages have now been obtained using a two step process in which an organosilane film is first patterned and then functionalized to impart the ability to metallize. This is achieved by photochemical patterning of a film which lacks a ligating group, but which is optimized for photospeed. The pattern of chemical functions so created is then reacted with an organic ligand which selectively places the ligand functionalities on the surface. Treatment with an aqueous Pd catalyst followed by electroless plating deposits metal in patterns defined by the irradiation step. Using several chemical approaches based upon this concept, metal patterns have been fabricated to 0.5 micrometers feature width at doses of 50 mJ/cm2 at 193 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.