This paper describes our initial investigation into building a greater understanding of the complex mechanism occurring during extreme ultraviolet (EUV) exposure of resist materials. In particular, we are focusing on the number and energy of photoelectrons generated and available for reaction with photoacid generators (PAGs). We propose that this approach will best enable the industry to develop resists capable of meeting resolution, line width roughness (LWR), and sensitivity requirements.
Microfield exposure tools (METs) continue to play a dominant role in the development of extreme ultraviolet (EUV)
resists. Here we present an update on the SEMATECH Berkeley 0.3-NA MET and summarize the latest test results from
high-resolution line-space and contact-hole printing. In practice, the resolution limit of contact-hole printing is generally
dominated by contact size variation that is often speculated to originate form shot noise effects. Such observations of
photon-noise limited performance are concerning because they suggest that future increased resist sensitivity would not
be feasible. Recent printing data, however, indicates that the contact size variation problem is currently not a result of
shot noise but rather attributable to the mask in combination with the resist-dominated mask error enhancement factor
(MEEF). Also discussed is the importance of the contribution of the system-level line-edge roughness (LER) to resist
LER values currently obtained with the SEMATECH Berkeley MET. We present the expected magnitude of such effects
and compare the results to observed trends in LER performance from EUV resists over the past few years.
Extreme ultraviolet lithography (EUVL) is one of the leading candidates for next-generation lithography technology for
the 32 nm half-pitch node and beyond. The availability of EUV resists is one of the most significant challenges facing its
commercialization. A successful commercial EUV resist must simultaneously meet resolution, line width roughness
(LWR), photosensitivity, and resist outgassing specifications. Photosensitivity is of particular concern because it couples
directly to source power requirements and the source is widely viewed as the most daunting challenge facing EUV
commercialization.
To accelerate EUV resist development, SEMATECH has two programs that provide the resist community access to EUV
exposure capability: 1) the EUV Resist Test Center (RTC) at SEMATECH at Albany, SUNY, and 2) the SEMATECH
microexposure tool (MET) at Lawrence Berkeley National Laboratory. SEMATECH uses both facilities to benchmark
EUV resists in close cooperation with resist suppliers.
Here we summarize results from the SEMATECH EUV resist benchmarking project including process windows,
exposure latitude, and depth of focus, photospeed, LWR, and ultimate resolution. Results show that EUV resists meet
resolution and outgassing requirements for the 32nm half-pitch node. LWR and photospeed, however, remain a concern
especially for contact-hole printing. Moreover, progress towards the 22nm half-pitch node has also been demonstrated in
terms of resolvability.
Base titration methods are used to determine C-parameters for three industrial EUV photoresist platforms (EUV-
2D, MET-2D, XP5496) and twenty academic EUV photoresist platforms. X-ray reflectometry is used to measure the
density of these resists, and leads to the determination of absorbance and film quantum yields (FQY). Ultrahigh levels
of PAG show divergent mechanisms for production of photoacids beyond PAG concentrations of 0.35 moles/liter. The
FQY of sulfonium PAGs level off, whereas resists prepared with iodonium PAG show FQYs that increase beyond PAG
concentrations of 0.35 moles/liter, reaching record highs of 8-13 acids generated/EUV photons absorbed.
Extreme ultraviolet (EUV) photoresists are known to outgas during exposure to EUV radiation in the vacuum
environment. This is of particular concern since some of the outgassed species may contaminate the nearby EUV optics
and cause a loss of reflectivity and therefore throughput of the EUV exposure tools. Due to this issue, work has been
performed to measure the species and quantities that outgas from EUV resists. Additionally, since the goal of these
measurements is to determine the relative safety of various resists near EUV optics, work has been performed to measure
the deposition rate of the outgassed molecules on Mo/Si-coated witness plate samples. The results for various species
and tests show little measurable effect from resist components on optics contamination with modest EUV exposure
doses.
Recent experimental results and modeling both indicate that whereas it is possible to optimize a photoresist
and process to achieve separately a desired resolution or line edge roughness or sensitivity, it will be difficult
if not impossible to achieve all three simultaneously using current standard chemically amplified photoresists
and processes. This tradeoff among Resolution, Line Edge Roughness (LER) and Sensitivity is termed the RLS
tradeoff. Here we review the progress to date of a SEMATECH-funded program to develop an experimentally
verified model of the relationship among resolution, LER and sensitivity and use it to determine approaches for
"breaking" the RLS tradeoff.
A method to evaluate the sensitivity of photoresists used for extreme ultraviolet (EUV) lithography has been developed.
EUV sources produce out-of-band radiation and the reflective optics used in EUV tools reflect some of this out-of-band
light on the wafer plane. The effect of exposing these photoresists to this unwanted light can reduce the image contrast
on the wafer, and thereby reduce the image quality of the printed images. To examine the wavelengths of light that may
have an adverse effect on these resists, a deuterium light source mounted with a monochromator has been designed to
determine how sensitive these photoresists are to light at selected wavelengths in the range 190-650 nm.
In order to continue the trend toward smaller feature sizes in lithography, new methods of lithography will be
needed. A likely method for printing features 32 nm and smaller is extreme ultraviolet (EUV) lithography. EUV allows
for features to be printed that are smaller than the current methods can achieve. However, outgassing of the photoresist is
a concern for EUV lithography. The outgassed components can lead to contamination of the optics, degrading the
reflectivity and hence lowering throughput of the exposure tools. Outgassing due to EUV exposure has been investigated
by many groups. However, there were no complete investigations available of vacuum induced outgassing. In this paper,
several methods were employed to investigate the outgassing due to vacuum. It was found that the vacuum induced
outgassing outgassed a similar number of molecules as the outgassing due to EUV exposure. Furthermore, almost all of
the outgassing was completed after about two minutes in vacuum. To mitigate the potential concern of outgassing due to
vacuum causing contamination of optics, this work shows that photoresist coated silicon wafers only require about two
minutes of pumping prior to insertion near the optics within EUV lithography tools.
The Mo/Si multilayer mirrors used for extreme ultraviolet (EUV) lithography can become contaminated during exposure
in the presence of some hydrocarbons [1-3]. Because this leads to a loss in the reflectivity of the optics and throughput
of the exposure tools, it needs to be avoided. Since photoresists are known to outgas during exposure to EUV radiation
in a vacuum environment, the careful choice of materials is important to preserving the EUV optics. Work therefore has
been performed to measure the species and quantities of molecules that outgas from EUV resists when exposed to EUV
radiation [4-7].
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used
in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated
wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH
and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare
different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show
a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to
correlate outgassing measurements to witness plate experiments.
Previous papers have reported on print-based methods used to measure the aberrations in the SEMATECH Berkeley EUV microfield exposure tool (MET). The data showed that the tool has larger aberrations than those measured during interferometry (both visible and EUV) performed before the optic was integrated into the tool. The same analysis has been performed on the SEMATECH Albany MET to measure the low-order aberrations. As with the SEMATECH Berkeley tool, quantitative aberration measurements have revealed elevated levels of astigmatism and spherical error. Additionally, we find elevated levels of coma and field tilt and curvature.
Operating as a SEMATECH resist test center, the Berkeley 0.3-NA EUV microfield exposure tool continues to play a
crucial role in the advancement of EUV resists and masks. Here we present recent resist-characterization results from the
tool as well as tool-characterization data. In particular we present lithographic-based aberration measurements
demonstrating the long-term stability of the tool. We also describe a recent upgrade to the tool which involved redesign
of the programmable coherence illuminator to provide improved field uniformity as well as a programmable field size.
Optics contamination is a huge concern for extreme ultraviolet (EUV) lithography. In efforts to protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH has initiated a resist outgassing program to screen new resists and to learn outgassing characteristics using model compounds. This paper presents outgassing data for commercial resists as well as resists made by university researchers. Several resists made at the University of North Carolina at Charlotte (UNCC) were measured, including polymer-bound photoacid generator (PAG) resists such as poly (HOST-co-EAMA-co-PAG). Previous papers have reported that a large portion of outgassing is due to PAG fragments and deblocking groups. The UNCC resists outgas an order of magnitude less than most commercial resists tested by SEMATECH. This may be due to the low diffusion of the acid-cleavable adamantyl groups after exposure. In addition, fewer PAG species outgassed in the polymer-bound PAG resist than in blend PAG resists.
Although tremendous progress has been made in the crucial area of fabrication of extreme ultraviolet (EUV) projection optics, the realization diffraction-limited high numerical aperture (NA) optics (above 0.2 NA) remains a concern. The highest NA EUV optics available to date are the 0.3-NA Microfield Exposure Tool (MET) optics used in an experimental exposure station at Lawrence Berkeley National Laboratory [1] and commercial METs [2] at Intel and SEMATECH-North. Even though these optics have been interferometrically demonstrated to achieve diffraction-limited wavefront quality, the question remains as to whether or not such performance levels can be maintained after installation of the optics into the exposure tool. Printing-based quantitative aberration measurements provide a convenient mechanism for the characterization of the optic wavefront error in the actual lithography tool. We present the lithographic measurement of low-order aberrations in the Berkeley MET tool, including a quantitative measurement of astigmatism and spherical error and a qualitative measurement of coma. The lithographic results are directly compared to interferometry results obtained from the same optic. Measurements of the Berkeley MET indicate either an alignment drift or errors in the interferometry on the order of 0.5 to 1 nm.
The availability of photoresists meeting simultaneous resolution, sensitivity, and line edge roughness performance is a critical challenge for the acceptance of Extreme Ultraviolet Lithography. The Extreme Ultraviolet Resist Test Center (EUV RTC) at SEMATECH-North at the State University of New York at Albany is a state of the art facility to support the development of photoresists for EUV lithography. The facility was opened on September 28, 2005, for customer use. SEMATECH researchers, member companies, resist suppliers, and researchers from universities and institutes worldwide can use this neutral site for EUV resist development. The heart of the EUV RTC is an Exitech 5X EUV microstepper with a 0.3 numerical aperture (NA) lens. This tool has successfully imaged 45 nm dense lines in photoresists, and the ultimate imaging performance of the microstepper based on optics and wavefront quality should be near 25nm dense lines.
The availability of high resolution, low line-edge roughness, high sensitivity resists has recently been determined to be one of the most critical issues for the development of extreme ultraviolet (EUV) lithography. To address this issue, a series of 0.3 numerical aperture EUV microfield exposure tools (METs) has been developed. One of these tools is installed at SEMATECH North as part of its EUV Resist Test Center. The MET will be used as a resist evaluation tool and potentially as a mask evaluation tool; it is important to have an accurate knowledge of the aerial-image performance limits of the tool. Such knowledge enables the user to decouple optic effects from the resist and mask architecture effects being studied. Based on wavefront data provided by Zeiss (the manufacturer of the optic) and the lithographically measured flare data, PROLITH modeling is used to predict system performance under a variety of conditions.
The past two years has brought tremendous improvements in the crucial area of resists for extreme ultraviolet (EUV) lithography. Nested and isolated line resolutions approaching 30 nm and 25 nm, respectively, have been demonstrated. These advances have been enabled, in large part, by the high-numerical (0.3) EUV imaging capabilities provided by the Berkeley microfield exposure tool (MET). Here we investigate the resolution limits in several advanced EUV resists using the Berkeley MET. Comparisons to aerial-image performance and the use of resolution-enhancing illumination conditions are used to establish the fact that the observed pattern resolution in the best chemically-amplified resists available today are indeed resist limited. Moreover, contrast transfer function (CTF) techniques are used to directly compare various advanced resists. Strong correlation is observed between relative CTF performance and observed resolution limits.
The recent development of high numerical aperture (NA) EUV optics such as the 0.3-NA Micro Exposure Tool (MET) optic has given rise to a new class of ultra-high resolution microexposure stations. Once such printing station has been developed and implemented at Lawrence Berkeley National Laboratory’s Advanced Light Source. This flexible printing station utilizes a programmable coherence illuminator providing real-time pupil-fill control for advanced EUV resist and mask development.
The Berkeley exposure system programmable illuminator enables several unique capabilities. Using dipole illumination out to sigma=1, the Berkeley tool supports equal-line-space printing down to 12 nm, well beyond the capabilities of similar tools. Using small-sigma illumination combined with the central obscuration of the MET optic enables the system to print feature sizes that are twice as small as those coded on the mask. In this configuration, the effective 10x-demagnification for equal lines and spaces reduces the mask fabrication burden for ultra-high-resolution printing. The illuminator facilitates coherence studies such as the impact of coherence on line-edge roughness (LER) and flare. Finally the illuminator enables novel print-based aberration monitoring techniques as described elsewhere in these proceedings.
Here we describe the capabilities of the new MET printing station and present system characterization results. Moreover, we present the latest printing results obtained in experimental resists. Limited by the availability of high-resolution photoresists, equal line-space printing down to 25 nm has been demonstrated as well as isolated line printing down to 29 nm with an LER of approaching 3 nm.
Extreme UV lithography (EUVL) is one of the most promising NGL technologies for sub-100nm resolution. We are developing polymer bound PAG resists for patterning down to the 32 nm node by EUVL. It has been reported that photoacid generators have limited compatibility with the chemically amplified polymer resist matrix that leads to phase separation, non-uniform acid distribution and migration during the baking process. To alleviate these problems, it is proposed that PAG units be incorporated in the resist chains, rather than adding monomeric PAG in to the resist polymer. The polymer bound PAG resists, poly (4-hydroxystyrene-co-2-ethyl-2-adamantyl methacrylate-co-PAG) were synthesized with different PAG loading (2% to 10.5%) using free radical polymerization. These resists contain the bulky adamantly protecting group to improve lithographic performance. The incorporation of photoacid generators (ionic and covalent) in the main chain of the polymer enhanced sensitivity and contrast compared to conventional PMMA resist and polymer with blend PAG. It was found that the sample with 5% PAG loading in the main chain gave sub 50 nm features using EUV exposure.
A chemically amplified resist, Poly(4-hydroxystyrene-co-tertiarybutylmethacrylate-co-MethacrylphenylPOSS) with different Polyhedral oligosilsesquioxane (POSS) loading has been synthesized by free radical polymerization. The incorporation of POSS units into the resist matrix has been found to affect their RIE resistance in O2 plasma. The thickness of the films were monitored using ellipsometry at various etch intervals to determine the etch rate and selectivity. It was observed that etch rate of these nanocomposite resists were comparable to the standard PHOST and Novolac based resists. HRTEM and HAADF studies showed that the POSS units exhibit a morphology of rectangular crystallites that are responsible for the plasma etch behavior. We have obtained 120 nm (1:1) (Line/Space) feature using 248 nm lithography. The protecting group, tertiary butyl protecting group exhibits acceptable outgassing. Using e-beam lithography, 70nm pattern feature was obtained.
The goal of nanofabrication capabilities that can routinely achieve dimensions of less than 32 nm will require the design of new photopolymers and strategies using wavelengths as short as 13 nm [extreme ultraviolet (EUV)]. Although EUV lithography is a challenging emerging technology that has proven its feasibility to smaller image features, yet it still requires novel photoresists. This communication discusses developments in the synthesis and lithographic performance of positive chemically amplified photoresists incorporating hydroxystyrene and a bulky adamantly protecting group. The incorporation of an ionic PAG unit, phenyl methacrylate dimethysulfonium triflate (PAG), in the resist backbone showed increased sensitivity compared with the analogous blend PAG resist samples. Sub-50 nm patterns were obtained upon extreme UV exposure on ultrathin single layer resist films of the newly synthesized polymer bound PAG resist, poly (4-hydroxystyrene-co-2-ethyl-2-adamantyl methacrylate-co-PAG).
International SEMATECH(ISMT) has operated a 157nm Resist Test center since June of 2000. During this time, we have processed hundreds of 157nm photoresist samples from major resist suppliers and research organizations. Almost all of these of these early 157nm resists have demonstrated unusual susceptibility to airborne molecular contamination (AMC). Tests were completed at ISMT comparing post exposure delay stability of 157nm fluoropolymer resists to production level 193 and 248 nm resists. The 157nm resist samples were approximately 5X more sensitive to AMC. We have implemented extensive AMC control measures including the introduction of mini-environments and filtered wafer carriers to mitigate the AMC sensitivity of these resists. The effectiveness of the control measures was measured using a real time airborne base monitor, grab samples, and resist delay studies. 157nm resists were severely affected by relatively low airborne base concentrations of approximately 1 ppbv NH3. Hold time stability was significantly improved when the airborne base concentrations was reduced to < 0.5 ppbv using carbon filtration. Small variations in of +/- 0.2 ppbv NH3 appear to be influencing resist profiles and delay response.
This report is the second series of 157nm alternating phase shifting mask done at ISMT. In this report, we present a comprehensive study of balancing aerial image through various feature sizes and pitches. New resutls of resist imagse are analyzed from a 157-nm alternating PSM with a 0.85 NA lens. The mask is made by dual trench technique with a phase-etch of 115nm and an isotropic under-etch of 90nm based on optimized simulation results. With this dual trenched mask, the wafer printing images show tremendous improvement on 'line paring' phenomena. We also investigate some abnormal CD variation across line array observed during this study. The results from this work give an initial assessment of 157-nm capability of alternating PSM and 157-nm resist imaging quality.
In this paper, we present a process of balancing the aerial image and analyzing the results of resist images of 157-nm alternating PSM with a 0.85 NA lens. The mask is made by dual trench technique with a phase-etch of 115nm and an isotropic under-etch of 90nm as predicted by simulations. With this dual trenched mask, the wafer printing images show tremendous improvement on “line walking” or “line paring” phenomena. The ultimate resolution is 60nm dense line. The focus latitude is around 0.1 to 0.15 um. We also used a 157-nm AIMS tool to check intensity balance. The results supports balanced intensity of this mask. For mask quality characterization, etch depth is measured by AFM and mask CD is measured by CD SEM. The uniformity of etching depth and mask CD are all within specifications. We also present some abnormal CD variation across line array observed during this investigation. The results from this work give a good groundwork of 157-nm capability of alternating PSM and 157-nm resist imaging quality.
Novel polymers containing polyhedral oligomeric silsesquioxane (POSS) pendant groups have been synthesized and evaluated as components of 157 nm resist formulations. Random copolymers of polymerizable, ethyl-POSS containing monomers with various acrylates, including tert-butyl methacrylates, were first used in positive, aqueous base-developable resist formulations and evaluated at thicknesses in the range of 100 nm. Copolymers with optimized monomer composition do not present strong self-organization phenomena and provide materials with good film forming properties, and high sensitivity at 157 nm (1-10 mJ/cm2 under open field exposure). Process studies reveal strong influence of thermal processing conditions and development concentrations on swelling of unexposed and underexposed resist areas. Similar results are obtained from Dissolution Rate Monitoring (DRM) studies. A typical process selected for selling reduction includes pre-exposure and post-exposure bakes at 160°C (2 minutes) and selection of low strength developers. High resolution patterning under these conditions has shown potential for sub 130 nm lithography upon further material optimization. On the other hand, pattern transfer studies have shown that 100 nm thick films of POSS containing materials, having the same silicon content as the ones evaluated for high resolution 157 nm lithography, provide the necessary oxygen plasma resistance for use as bilayer resists. X-ray photoelectron spectroscopy (XPS) was used for surface characterization before plasma etching. Both XPS and angular XPS characterization have revealed that the POSS moieties tend to segregate preferentially on the free surface of the films.
Significant improvement in 157nm optical components lifetime is required for successful implementation of pilot and production scale 157nm lithography. To date, most of the 157nm optics lifetime data has been collected in controlled laboratory conditions by introducing predetermined concentrations of contaminants and monitoring degradation in terms of transmission loss. This publication compliments prior work by documenting field experience with the 157nm Exitech Microstepper currently in operation at International SEMATECH. Failure mechanisms of various optical components are presented and molecular contamination levels in purge gas, tool enclosure, and clean room are documented. Finally the impacts of contaminant deposition and degradation of components on imaging performance is discussed.
Aberrations, aberrations, here there everywhere but how do we collect useful data that can be incorporated into our simulators? Over the past year there have no less than 18 papers published in the literature discussing how to measure aberrations to answering the question if Zernikes are really enough. The ability to accurately measure a Zernike coefficient in a timely cost effective manner can be priceless to device manufacturers. Exposure tool and lens manufacturers are reluctant to provide this information for a host of reasons, however, device manufacturers can use this data to better utilize each tool depending on the level and the type of semiconductors they produce. Dirksen et al. first discussed the ring test as an effective method of determining lens aberrations in a step and repeat system, later in a scanning system. The method is based on two elements; the linear response to the ring test to aberrations and the use of multiple imaging conditions. The authors have been working to further enhance the capability on the test on the first small field 157 nm exposure system at International SEMATECH. This data was generated and analyzed through previously discussed methods for Z5 through Z25 and correlated back to PMI data. Since no 157nm interferemetric systems exist the lens system PMI data was collected at 248nm. Correlation studies have isolated the possible existence of birefringence in the lens systems via the 3-foil aberration which was not seen at 248nm. Imaging experiments have been conducted for various geometry's and structures for critical dimensions ranging from 0.13micrometers down to 0.10micrometers with binary and 0.07micrometers with alternating phase shift mask. The authors will review the results of these experiments and the correlation to imaging data and PMI data.
The design of 157nm photoresist is a daunting task since air, water, and most organic compounds are opaque at this wavelength. Spectroscopic studies led to the observation that fluorinated hydrocarbons improve the transparency of 157nm resist materials rather dramatically. These fluorinated resists have quickly become the prominent material platform for a variety of research activities. Regardless of wavelength, developing a practical photoresist material is always challenging; the added difficulties associated with 157nm radiation complicates the overall design problem and severely limits the choice of material classes to work with. This paper will discuss our 157nm simulation and parameter extraction efforts that have been completed over the past few months at International SEMATECH. During the past year we have developed the methodologies and practical test methods that are needed to study the lithographic behavior of 157nm resist systems. Our work is based on procedures in the open literature and augmented by internal research.
Chemically amplified photoresists where first created for broadband deep UV imaging, then used for monochromatic KrF excimer laser light, then ArF and now F2 for 157nm imaging. With this experience we may able to build The Perfect Photoresist. This may sound ridiculous, however, take a good look at the SIA roadmap, and the timing in which 157nm imaging will be needed. There are numerous arguments regarding 157nm insertion, some say 100nm and others say the 70nm node; regardless of the timing it is approaching quickly. The time allowed to develop such technology is decreasing. If we recall back to the early 1980's when deep UV (248nm) materials development started, it has taken nearly 10-12 years to perfect them. ArF materials, whose development began in the early 90's, will take approximately 8 years before reaching manufacturing (and there is still great improvement needed). Now let's focus on the timeline for 157nm resist: its development began in 1999 and it must be ready for early manufacturing by the end of 2004. Most, if not all, photoresist companies have plans to develop photoresist technology for 157nm. Many of these solutions are based on existing KrF or ArF materials technology with modifications to meet optical density requirements for 157nm. Considering the implementation node for this technology (let's assume 70nm), films thickness' will most likely not exceed 250nm and in most cases range from 150nm to 200nm. These films thicknesses bring on new and unique challenges, however, they can be managed. The authors will take into consideration three different types of device level imaging: gate, trench and contact holes for single layer imaging. Through the use of designed simulation experiments and existing data we will construct different photoresist models to meet the requirements for these device levels. We'll plan to test whether resist models calibrated at 248nm can be extrapolated to 157nm. The authors will also explore the question of optical density (B parameter), chemical contrast, exposed & unexposed film dissolution along with acid diffusion to provide the insight that can aid in developing materials for the photoresists composition. We will investigate the use of binary and attenuated reticles with various illumination conditions over several substrates, to provide insight into designing The Perfect Photoresist for 157nm Imaging.
Contamination of optical elements during photoresist exposure is a serious issue in optical lithography. The outgassing of photoresist has been identified as a problem at 248nm and 193nm in production because the organic films that can be formed on an exposure lens can cause transmission loss and sever image distortion. At these exposure energies, the excitation of the photo acid generator, formation of acid, and cleavage of the protecting group are highly selective processes. At 157nm, the exposure energy is much higher (7.9 eV compared to 6.4 eV at 193nm) and it is known from laser ablation experiments that direct laser cleavage of sigma bonds occurs. The fragments formed during this irradiation can be considered as effective laser deposition precursors even in the mid ppb level. In this study, methods to quantify photoresist outgassing at 157 nm are discussed. Three criteria have been set up at International SEMATECH to protect lens contamination and to determine the severity of photoresist outgassing. First, we measured film thickness loss as a function of exposure dose for a variety of materials. In a second test we studied the molecular composition of the outgassing fragments with an exposure chamber coupled to a gas chromatograph and a mass spectrometer detector. Our third method was a deposition test of outgassing vapors on a CaF2 proof plate followed by analysis using VUV and X-ray photoelectron spectroscopies (XPS). With this technique we found deposits for many different resists. Our main focus is on F- and Si- containing resists. Both material classes form deposits especially if these atoms are bound to the polymer side chains. Whereas the F-containing films can be cleaned off under 157nm irradiation, cleaning of Si-containing films mainly produces SiO2. Our cleaning studies of plasma deposited F-containing organic films on SiO2 did not indicate damage of this surface by the possible formation of HF. Despite that we strongly recommend engineering measures to overcome contamination by resist, such as optimizing the purge flow between the final lens element and wafer surface or utilization of a lens pellicle.
The authors propose the establishment of a new industry standard methodology for testing the environmental stability of chemically amplified chemical resists. Preparatory to making this proposal, they developed a pertinent test apparatus and test procedure that might be used uniformly as an industry-wide best practice. To demonstrate and validate their proposed methodology, the authors subjected two different 193 nm chemically amplified photoresists to test conditions in the 'torture chamber,' simulating actual lithographic environmental scenarios. Depending on the variables of each test run (e.g., different resists, different resist thicknesses, different pollutants, different concentrations, and different humidity levels), a variety of defects were noted and described quantitatively. Of the three contaminants tested, ammonia had the strongest effect. The thin resists were more strongly affected by the contamination.
The goal of this paper is to define a 'state of the art' of the lithographic performance obtained with an advanced 193 nm single layer resist process, for 150 nm technology generation specification and below. Even if the goal of the paper is not to propose a process ready to be implemented in pilot lines, the resist used should be commercially available and exhibit good performance. The Sumitomo PAR101 A4 meets both criteria and is selected for the study. In order to get the best performance from the resist, all evaluation work is completed using a BARC. Both inorganic and organic materials are first considered; their processes are optimized to obtain the best reflectivity control and chemical compatibility with the resist. Then, using G0, conventional illumination and a binary reticle, the process performance is evaluated in terms of linearity, depth of focus, energy latitude and proximity effects for 150 nm and 130 nm lines, and depth of focus and energy latitude for 170 nm contact holes. Different optical extension techniques are then compared for increasing the 130 nm lines process latitudes: off-axis illumination, and alternating phase shift masks.
The need for antireflective layers for good lithographic performance is a necessity for 193 nm wavelength exposures. In this paper we will illustrate two solutions for reflectivity control. The first is a spin-on organic bottom antireflectivity coating optimized for 193nm resist and the other is an inorganic film, SiOxNy.
The 193 nm photoresist generation will need several technological approaches in order for it to be successfully integrated into manufacturing. These approaches include bilayer, single layer and top surface imaging resists. Bilayer resists offer the advantages of thin film imaging (resolution, depth of focus) and potential advantages in plasma etch resistance due to the possibility of incorporating aromatic components into the undercoat. We have developed a prototype bilayer resist system based on a silicon containing methacrylate imageable layer and a crosslinked styrenic copolymer undercoat which has shown 0.13 micrometers resolution. In this paper we will discuss the effects of O2-RIE and polysilicon etch on resist and substrate profile, selectivity and iso-dense resist.
The problem of deep ultraviolet (DUV) resist footing on titanium nitride (TiN) substrates has been studied using three different photoresists and TiN films of various stoichiometries. Multiple characterization techniques have been used to characterize the TiN films including auger electron spectroscopy, atomic force microscopy, Rutherford backscattering and reflectivity measurements. Resist footing was compared for process delay experiments, softbake temperature changes, and pretreatments to the TiN substrates. Based on these results and information previously published, it is concluded that detrimental surface and interface states exist on the TiN substrate which are principally reasonable for the footing.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.