Since flare-related CD variation was observed in some 40-nm test chips, we evaluated the flare level of an ArF immersion scanner by the Kirk method. We found that the tool flare for a 1.3-μm pad was more than 5% and the short-range flare (scattering range < 10 μm) was quite large when the optics were degraded. Optics maintenance reduced the tool flare to about 2%. An evaluation of the impact of short-range flare on the space CDs of 40-nm logic devices revealed it to be quite large. The point spread function for flare was determined from measured flare data, and the flare density was calculated for various patterns. A simulation analysis showed that the measured CD error was closely related to flare density. Since the impact of a change in dose on space CD is nonlinear, the impact of a change in flare is also nonlinear. Simulations using tool flare and flare density can predict most of the CD error. In the active layer of 40-nm logic devices, the flare density is generally in the range of 40-70% for critical space patterns. Varying the dose control pattern from small-area L/S (< 5 μm square) to large-area L/S (50 μm square) should reduce the impact of flare on space CD. Patterns with a medium flare density are preferable for dose control.
A great deal of research effort is focused on accelerating the development of 193-nm immersion lithography because
it appears to be the most suitable lithographic solution available for 65-nm-and-below semiconductor devices.
To realize a 193-nm immersion process, we must find ways to detect and analyze immersion specific defects, and
then establish processes that let us avoid such defects.
In this paper, we examine immersion specific defects and ways to detect and eliminate them in production processes.
Through comparison of dry exposure and immersion exposure processes, we have found that "bridges" and
"water-marks" are the most significant immersion specific defects using current developable top-coats. Although we
confirmed that the current solvent-removable top-coat process is better for avoiding immersion specific defects, we also
found that the defect density with a developable top-coat was still low enough for volume production.
We also investigated the causes of immersion specific defects and hypothesized that DI water permeation and the
local topology of the top-coat play an important role in the generation of immersion specific defects. To test whether this
was so, we evaluated the change in the top-coat film thickness by the quartz crystal microbalance technique. We
confirmed that top-coat swelling caused by water permeation into the top-coat film is a major cause of immersion
We previously succeeded in fabricating 60-nm line-and-space (L/S) patterns with fluorine-containing silsesquioxane-type (F-SSQ) resist, BARRIERTA® J25V immersion fluid, and NovecTM HFE-7200 rinse liquid using our two-beam interferometer. In the study reported here, we tried to fabricate a finer resist pattern with this immersion fluid, but 40-nm L/S could not be resolved because of the T-top shapes produced under the same conditions. The cause of the T-top shape was photo-acid generator (PAG) leaching into HFE-7200, which in effect caused the resist layer to swell. When FluorinertTM FC-84 with the CF3 functional group, which has the lowest reactivity, was used as a rinse liquid, the resolution of 40- and 32-nm L/S without T-top shape was achieved. Next, to fabricate finer patterns, we considered improving the molecular structure to raise the refractive index. As a result of an examination based on perfluoroalkane, which has high transparency, we found that it is effective to reduce the fluorine atom concentration, transform the molecular structure to a steric structure without reducing molar refractivity, and attach a functional group such as a cyclohexyl or chloro group. Finally, with the high-refractive index immersion fluid, we attempted to fabricate 28-nm L/S. Although we tried pattern fabrication with two immersion fluid candidates, transfer of the interference patterns to the resist has not been confirmed at this time. However, since we checked that the light did reach the resist, we speculate that it will be possible to fabricate 28-nm L/S by making a minor change.
Despite the early skepticism towards the use of 193-nm immersion lithography as the next step in satisfying Moore's law, it continuous to meet expectations on its feasibility in achieving 65-nm nodes and possibly beyond. And with implementation underway, interest in extending its capability for smaller pattern sizes such as the 32-nm node continues to grow. In this paper, we will discuss the optical, physical and lithographic properties of newly developed high index fluids of low absorption coefficient, 'Babylon' and 'Delphi'. As evaluated in a spectroscopic ellipsometer in the 193.39nm wavelength, the 'Babylon' and 'Delphi' high index fluids were evaluated to have a refractive index of 1.64 and 1.63 with an absorption coefficient of 0.05/cm and 0.08/cm, respectively. Lithographic evaluation results using a 193-nm 2-beam interferometric exposure tool show the imaging capability of both high index fluids to be 32-nm half pitch lines and spaces.
Immersion lithography has by far satisfied most expectations regarding its feasibility as the next lithographic
technique for the 65-nm node and below. To further advance 193-nm immersion lithography, a means of efficiently
controlling water as an immersion fluid and research and development concerning resist processes are necessary.
In 2004, Nikon Corporation introduced a 0.85 numerical aperture (NA) 193-nm immersion exposure tool that uses
water as the immersion liquid. This engineering evaluation tool (EET) is equipped with a highly efficient temperaturestabilized
water nozzle assembly. Selete Inc. in collaboration with Nikon Corporation has been evaluating the
performance and various characteristics of the EET while also investigating various photoresist and topcoat processes.
We selected three types of standard immersion processes that offered the best performance for our evaluation
purposes. A resolution limit of 70-nm half-pitch line-and-space (L/S) patterns has been confirmed. A 0.8-μm depth of
focus (DOF) was also verified for an 80-nm half-pitch L/S pattern. In addition, full wafer (WF) critical dimension (CD)
uniformity of less than 5 nm (3 sigma) has been demonstrated for a 90-nm half-pitch L/S pattern on a 300-mm wafer
(WF). After the implementation of various improvements to both the EET and the topcoat/resist processes, we have
achieved a total defect density of 0.23/cm2, and this defect level is low enough for pilot production.
Centerline phase-shifting mask (CL-PSM), which has narrow chromium lines at the boundaries of a μ-phase shifter, is promising as a resolution enhancement technology for random-pitch line patterns. We compared the performance of the CL-PSM in fabricating sub-45 nm lines with that of the chrome-less phase-shifting mask (CLM) in 157-nm lithography. The simulation results showed the CL-PSM is superior to the CLM in resolution and depth of focus (DOF), especially in small pitch patterns. We optimized the layouts of CL-PSM and the CLM to 40-nm-wide, 140-nm-pitch line patterns through the simulation. In exposure experiments with optimized masks, the CL-PSM resolved 40-nm-wide line patterns with a minimum pitch of 110 nm, while the resolvable minimum pitch was 130 nm for the CLM. The DOFs for 40-nm-wide, 140-nm-pitch lines were 200 and 80 nm with CL-PSM and CLM, respectively. Furthermore, we estimated the resolution limit of CL-PSM in hyper-NA 193-nm lithography, and showed a pitch of 100 nm would be achieved with a 1.4 NA optics.
A two-beam interference lithography system based on a line-selected F2 laser has been developed. Resist patterns with a 60nm line and space (L&S) resolution were produced by the interferometer by F2 immersion lithography. The F2 laser performance had been especially optimized for this application. The spectral emission at the 157.53nm line was less than 1% of the main line emission at 157.63nm. The main line had a deconvolved spectral bandwidth of 0.84 pm (full width at half maximum (FWHM)). The degree of horizontal linear polarization was above 0.73 and the visibility of spatial coherence was larger than 0.83 at a pinhole distance of 0.1mm.
In July 2004, the third FPA-5800 FS1 157-nm full-field scanner, developed by Canon Inc., was shipped to Selete. The scanner has an exposure field of 22 x 26 mm with a five-times reduction ratio. The numerical aperture is 0.80, which is the highest among all 157-nm scanners. We are now investigating tool performance, illumination uniformity, and imaging performance under various exposure conditions. In this paper, we will report our findings, focusing on the applicability of 157-nm dry lithography for the half-pitch 65-nm-node. We have obtained a resolution limit of 55-nm line-and-space (L&S) patterns with an alternating phase shifting mask. This corresponds to a 0.28 k1 factor. The depth of focus for these patterns at lens-center was 0.30 μm. For an attenuating PSM (Att-PSM) with annular illumination, the resolution limit was 65-nm L&S, which corresponds to 0.33 k1. The line width uniformity of 65-nm L&S with an Att-PSM was 15.0 nm. The dense-hole resolution was 80 nm. However, lens flare had a considerable effect on resist profiles, viewed as a difference between dark and bright field patterns. In addition, with the application of gate etching processes, the fabrication of a 40-nm line/120-nm pitch gate pattern was achieved. Improvement in the line width roughness (8.3 nm) is needed and should be attainable.
The FPA-5800FS1 157-nm scanner installed at Selete has demonstrated a minimum resolution of 55 nm for line-and-space (L/S) patterns with a numerical aperture (NA) of 0.8. The scanner has been used for 65-nm-node device fabrication and will be used for 45-nm-node device development. The approximately 20% shorter wavelength in 157-nm lithography has several advantages compared to 193-nm immersion lithography. For example, assuming the same k1 value, 157-nm lithography, which has a 20% smaller NA, has a 25% larger depth of focus and better resolution in two-dimensional patterns, for which polarized illumination is not effective. This 157- nm immersion lithography has the potential to be used for 32-nm-node device fabrication with a k1 of 0.3 in combination with a high-refractive-index immersion fluid. To demonstrate the process feasibility of 157-nm immersion lithography, we developed a two-beam interferometric stepper with a high-quality F2 laser and used it and a commercial perfluoroether as an immersion fluid to print 60-nm L/S patterns with a steep cross-sectional profile. Development of an immersion fluid with a high refractive index and low optical absorption is critical issue for making 157-nm immersion lithography practical. We have identified several fluorinated polymers with high diffractive indices and will continue searching for suitable 157-nm immersion fluids.
We performed an initial evaluation of 157-nm immersion lithography. The 157-nm immersion fluid needs to have both a high refractive index and high transmittance at a wavelength of 157 nm. This paper focuses on the transparency of the fluid. We evaluated the transparency of straight-chain perfluoroalkane and perfluoroether using a semi-empirical molecular orbital method. We found that perfluoroether has lower absorption at 157 nm than perfluoroalkane, and increasing the amount of ether bonds in perfluoroether can further reduce the absorption. Moreover, we found that designing the molecular structure with ether bonds so that the number of successive CFx is balanced should further improve transparency. Although the commercial perfluoropolyether BARRIERTA® J25V contains a trifluoromethyl group in one of its side-chains, it satisfied the above conditions and achieved high transmittance of 1.0 cm-1 at 157 nm. The sensitivity characteristics of the XP2332C and F-SSQ resists were evaluated by dry and immersion exposure using BARRIERTA® J25V immersion fluid, and no noticeable changes were seen in the development contrast for either exposure condition for either of these two resists. To perform 157-nm immersion exposures, we constructed a Michelson interferometric exposure tool, which let us create an interference pattern with sufficient optical contrast. We obtained a resolution of 60-nm line-and-space pattern having a good rectangular shape by immersion exposure using this interferometric exposure tool, F-SSQ resist, and BARRIERTA® J25V immersion fluid without using a top-coat.
Various fluorinated polymers were synthesized for application in 193-nm immersion lithography with the goal of improving 157-nm photoresist performance. Their fundamental properties were characterized, such as transparency at 193-nm and 157-nm (wavelength) and solubility in water and a standard alkaline developer. High transparency, i.e., absorbance better than 0.3 μm-1 at 193-nm wavelength, was achieved. The dissolution behaviors of them were studied by using the Quartz Crystal Microbalance (QCM) method. We find that the dissolution rate of Poly(norbornene-2-fluoro-2-hexafluoroalchol) (PNB1FVIP) in 0.065N tetramethylammonium hydroxide (TMAH) was >200 times (nm/s) faster than that of the copolymer of tetrafluoroethylene (TFE) and norbornene-2-fluoro-2-hexafluoroalchol (TFE/NB1FVIP). A resist based on TFE/NB1FVIP was able to delineate 75 nm dense lines by exposure at 193-nm (wavelength) with an alternating phase shift mask using a 0.75 NA ArF scanner. The dissolution rates of the fluoropolymers in water and a 0.262N and 0.065 TMAH can be controlled by optimizing counter monomers containing hexafluoroisopropanol (HFA) unit, carboxylic acid unit and so on. In addition, we have collect water contact angle data. This data shows that fluoropolymers can be used as resist cover materials for 193-nm immersion lithography.
We earlier developed new monocyclic fluoropolymers (ASF-2) for F2 resist materials. But, it is necessary for ASF-2 to improve of their characteristics, especially the dry-etching resistance, in order to apply for ArF and F2 lithography at fine design rules. In this study, to improve the dry-etching resistance keeping good characteristics of ASF-2, we examined using two methods. The one is to co-polymerize with ASF-2; the other is to introduce protective groups. We synthesized a new series of fluorinated co-polymers (ASF-2 with various monomers, e.g., methacrylate derivatives and vinyl ester derivatives). We found that the dry-etching resistance was improved by co-polymerization. Especially, the co-polymer with methacrylates containing an adamantyl moiety had a good dry-etching resistance, 1.45 vs. conventional KrF resist. This co-polymer also kept a good transparency at 193 nm. The introduction of various protective groups to the hydroxyl group of ASF-2 was also investigated. As the result of the optimization of protective groups and a protecting ratio, the partially protected ASF-2 with CCOM protecting groups had a good transparency at 157 nm and a good etching resistance (1.42 vs. conventional KrF resist). Using partially CCOM protected ASF-2 with an appropriate protecting ratio, sub-60 nm line and space pattern in 150 nm-thick film was obtained.
We evaluated the chromium-shielding attenuated phase shift mask (Cr-shield att-PSM) for the fabrication of fine hole patterns in 157-nm lithography. The transmittance of the phase shifter was set at 5% to achieve the best performance for 70- to 90-nm-diameter holes. Simulation and experimental results indicated that the optimum distance a between the pattern edge and the Cr-shield edge changed depending on the size and pitch of the holes. The optimum distance a for sub-70-nm-diameter holes was zero, which meant the binary mask gives the best depth of focus. In the case of 80-nm-diameter holes, the conventional att-PSM proved to be the best option for 1:1 hole patterns. For 1:2 hole patterns, the optimized distance a was 60 to 70 nm. For isolated hole patterns, the optimum distance a was 45 nm. After optimizing distance a, we confirmed the side-lobe control capability of the Cr-shield att-PSM through exposure experiments. The elimination of side-lobes greatly improved the resolution. Furthermore, we found that the mask linearity was improved through use of a Cr-shield att-PSM.
157-nm lithography processes together with optimization of mask feature size and illumination conditions and chromeless mask (CLM) of mesa-type were used to fabricate a 45-nm gate by combining a high numerical aperture (NA) lens with off-axis illumination (OAI) and using Si-containing resist. It was observed that the minimum pitch for forming a 45-nm line was 140-nm. It was also shown that quadrupole illumination was the optimum OAI condition and the optimum mask feature size for forming a 45-nm line of 200-nm pitch was between 50 nm to 55 nm. In these conditions the normalized image log-slope value was about 3.0. It was demonstrated that a 45-nm SRAM gate with a depth of focus of 150 nm could be fabricated by combining these resolution enhancement techniques with high NA lithography and Si-containing resist. Furthermore the 45-nm SRAM-gate pattern was successfully transferred with a bi-layer process. From these results it was proven that fabrication of 45-nm node device could be achieved by using CLM with high NA lithography.
In this paper, we present an evaluation system for F2 laser lithography masks and resists and we report preliminary test results. The evaluation system has two subsystems that are based on very accurate measurement technology. One subsystem is used for mask evaluation, the other subsystem for resist evaluation. The mask subsystem consists of two units. One unit evaluates real size 6025 binary masks placed horizontally as inside steppers. This unit measures three parameters: 1) the real time in-situ transmittance at 157nm during F2 laser irradiation, 2) the in-situ VUV transmittance using a VUV spectrophotometer and 3) the deformation of the pellicle. The precision of transmittance measurement at 157nm is +/-0.5%. The precision of the pellicle deformation measurement is +/-0.1μm. The second unit of the mask subsystem collects samples of the mask outgassing and analyzes them in a gas chromatograph mass spectrometer. The resist evaluation subsystem consists of three units. 1) One unit determines negative effects of outgassing resist contaminants on the transmittance of optical materials under F2 laser irradiation, 2) the second unit analyzes the outgassing from resists and 3) the third unit examines the effectiveness of exposure tool purge nozzles to reduce outgassing contamination.
The potential for extending the numerical aperture (NA) in order to develop devices beyond the 45-nm node has been investigated using a 157-nm microstepper exposure tool at 0.90NA (third generation) and verifying the resolution limit of several different resolution enhancement techniques. It was observed that with 157-nm lithography at 0.90NA a 60-nm line and space (L/S) and a 50-nm isolated line could be formed by using an attenuated phase shifting mask (Att-PSM), and that a 50-nm L/S and a 35-nm isolated line could be formed by using an alternating phase shifting mask (Alt-PSM). The influence of the flare for the same pattern sizes was more severe for the L/S pattern rather than isolated line. However, it was the most difficult to image an isolated line with an Att-PSM, which was limited with a tolerance to the flare of less than 1%. Furthermore, the requirement of more than 0.93 for lens NA was confirmed in order to fabricate half pitch 65-nm node device with Att-PSM and half pitch 45-nm node device with Alt-PSM. Results obtained in the pattern formation of 45-nm node with an Alt-PSM confirmed that a 35-nm line could be formed down to 140-nm pitch, a 40-nm line could be formed down to 135-nm pitch, and a 45-nm line could be formed down to 100-nm pitch. It has been demonstrated that 157-nm lithography could find application to half-pitch 65-nm and 45-nm node devices.
For 157-nm single-layer resists, dry etching resistance is an important issue because of the difficulty of striking a balance between 157-nm transparency and an acceptable level of dry etching resistance. To achieve an acceptable trade-off, the fluorine atom can be introduced into the resist polymer structure to obtain higher transparency, despite the fluorine atom’s high reactivity in the plasma etching process. We recently proposed a model for estimating dry-etching-resistance (the KI-model) and have shown that it can be effectively applied to the design of new fluoropolymer structures. Through simulation based on the KI-model, we were able to develop a new fluoropolymer with good dry etching resistance and high transparency. We found that a new protective group, 2-cyclohexylcyclohexanoxymethyl (CCOM), improved the characteristics of our novel fluoropolymer, compared with use of a MOM group, when used in the base resin of the resist. In this paper, we report on the usefulness of the KI-model for developing new fluorinated protective groups and new base polymers. Moreover, we have developed a new base fluoropolymer which has higher transparency and a similar degree of dry etching resistance as a monocyclic fluoropolymer with a CCOM protective group.
We earlier developed a series of fluoropolymers (FPRs) for use as first-generation 157-nm photoresist polymers. These FPRs have a partially fluorinated monocyclic structure and provide excellent transparency. However, their etching resistance is low (half that of conventional KrF resists) and an insufficient dissolution rate in tetramethylammonium hydroxide (TMAH) solution. To improve the characteristics of these polymers, while retaining high transparency, we had to redesign the main chain fluoropolymer structure. In this paper, we describe a new monocyclic fluoropolymer structure for a second-generation 157-nm photoresist polymer. This structure also has a fluorine atom in the polymer main chain, as well as a fluoro-containing acidic alcohol group. We synthesized two types of fluoropolymers, ASF-1 and ASF-2. We found that ASF-1 had transparency of 0.18 μm-1, better than that of the FPRs, and the etching resistance was improved. Unfortunately, the dissolution rate was poor. On the other hand, ASF-2 showed even better transparency of 0.1 μm-1, improved etching resistance, and a dissolution rate of more than 600 nm/s, which is sufficient for use as a resist. The introduction of a protecting group (e.g., the methoxymethyl or adamantylmethoxymethyl group) to the hydroxyl group of ASF-2 can be done after the polymerization reaction. Using partially protected ASF-2 with an appropriate protecting group, we were able to fabricate a sub-60-nm line-and-space pattern.
Main-chain-fluorinated base-resins, using the copolymer of tetrafluoroethylene and functional (hexafluoroisopropanol (HFA) group) norbornene, were synthesized. Partial protection of its hydroxyl group as ethoxymethyl group was achieved by two methods, by copolymerization (Method A) or by polymer reaction (Method B). The partial protection by copolymerization was conducted by copolymerizing TFE with the mixture of protected and unprotected monomers (Method A, copolymerization). The partial protection was also carried out by reacting hydroxyl group of the polymer, which is composed of TFE and unprotected monomers with ethoxymethyl chloride in the presence of an amine (Method B). In the polymer reaction, only exo position of the norbornene unit was protected. Their fundamental properties, such as transparency at 157 nm and solubility in a standard alkaline developer, were characterized and studied. A high transparency, i.e., absorbance of less than 0.4 μm-1, was achieved in both methods. However, the polymer prepared by the polymer reaction (Method B) was deprotected more quickly. And this polymer had a higher dissolution rate and development contrast than the polymer prepared by copolymerization (Method A). The Positive-working resists based on this fluororesins were developed and 55 nm dense lines could be delineated by the exposure at 157 nm wavelength with alternating phase shift mask on a 0.9 NA 157 nm exposure tool.
Fluorinated polymers are key materials for single-layer resists used in 157-nm lithography. We have been studying fluorinated polymers to determine their potential for use as the base resin and have developed a new monocyclic fluorinated polymer that has high transmittance (an absorption coefficient of 0.1 μm-1) at a 157-nm exposure wavelength and high dry-etching resistance (a dry-etching rate of 1.86 times that of a KrF resist) under hard mask dry-etching conditions. Moreover, it has a high dissolution rate in standard aqueous tetramethylammoniumhydroxide developer (a dissolution rate of more than 600 nm/s). Using this polymer with adamanthylmethoxymethyl as a protecting group, we were able to resolve a 60-nm line-and-space pattern using a 0.90 numerical aperture 157-nm laser micro-stepper along with a resolution-enhancement alternating phase-shift mask technique. This polymer has enabled both high dry-etching resistance (a dry-etching rate equal to 1.43 times that of a KrF resist) and good imaging performance.
We have evaluated the outgassing products and the in-situ transmittance of a contaminated CaF2 substrate for monocyclic fluoropolymers with four protecting groups: methoxymethyl (MOM), tert-butoxycarbonyl (t-BOC), menthoxymethyl (MM), and 2-cyclohexylcyclohexyloxymethyl (CCOM). We have also evaluated the same type of fluoropolymer with seven kinds of photo-acid generators (PAGs) added to a base fluoropolymer solution. We found little correlation between the total amount of outgassing from the polymer and the decreasing rate of the CaF2 substrate transmittance caused by outgassing adhesion. Although the MOM protecting group generated the largest amount of outgassing products, the most substantial decrease in the transmittance was observed for the t-BOC protecting group. Also, the outgassing products due to use of a PAG did not greatly reduce the absorption coefficient of a CaF2 substrate regardless of the kind of PAG. Therefore, the absorption coefficient of the outgassing-contaminated CaF2 substrate appears to be more sensitive to the type of protecting group, especially the t-BOC protecting group including a t-butyl unit, rather than the type of fluoropolymer or PAG. We analyzed the substrate surface contaminant due to the t-butyl unit by x-ray photoelectron spectroscopy (XPS), transmission electron microscopy (TEM), and time-of-flight secondary ion mass spectrometry (TOF-SIMS), and found that increasing amounts of organic compounds, containing mainly C- and H-atoms, were adhered to and deposited on the substrate surface with an increasing irradiation dose. We speculate that the contaminants on a CaF2 surface with or without an anti-reflective coating were formed not only through mere physical adsorption, but also through certain chemical combinations. We conclude that in terms of material design of the fluoropolymer resist for 157-nm lithography, we need to pay attention to the protecting group of polymers, especially the t-BOC or t-butyl protecting group, which generates isobutene product during 157-nm irradiation.
Fluorinated polymers are key materials for single-layer resists used in 157-nm lithography. We have evaluated the potential of fluorinated polymer-based resists from the viewpoint of critical dimension (CD) control, using a 0.90 numerical aperture (NA) 157-nm micro-stepper with an alternating phase shift mask (alt-PSM). A resolution limit of 55-nm line-and-space patterns was obtained and the bake temperature dependence of the CD was found to be less than 2 nm/°C. We further evaluated these resists using a 0.80-NA FPA-5800FS1 157-nm scanner for full-field imaging with an alt-PSM. With these resists, 60-nm line-and-space patterns were resolved, and a depth of focus (DOF) of more than 400 nm for 100- and 80-nm line-and-space patterns was confirmed. The CD variation across the wafer for a 100-nm 1:1 dense line pattern was 3.3 nm (3σ). Although there is still a need to improve line edge roughness and dry etching resistance, in terms of CD control the fluorinated polymer-based resists have demonstrated sufficient potential for mass-production of 65-nm-node semiconductor devices and beyond.
Fluoropolymers are key materials in the single-layer resists used in 157-nm lithography. We have been studying fluoropolymers to determine their potential use as base resins. These polymers are main-chain fluorinated polymers synthesized by co-polymerizing tetrafluoroethylene (TFE) and functional norbornene. We developed a new polymer that is highly transparent and has high dry-etching resistance by attaching a PG-F protecting group, which has high dry-etching resistance, to a TFE/norbornene-based fluorinated polymer. The dry-etching rate for the 15 % blocked polymer was 1.50 times that of a KrF resist and its absorption coefficient at a 157-nm-exposure wavelength was 1.06 /μm. We introduced various photoacid generators (PAGs) to the polymer, and compared lithographic performance. As a result, we found polymer with a triphenylsulfonium-salts-based PAG had a good pattern profile, and polymer with a high-acidity PAG resolved a fine pattern. In particular, polymer with a triphenylsulfonium perfluorooctane sulfonate PAG was able to resolve a 60-nm line and space pattern. We then added various quenchers to the polymer and the PAG, and compared pattern profiles. We found that the use of a high-basicity quencher improved the resolution of the resist and line edge roughness. Consequently, that the polymer with the triphenylsulfonium perfluorooctane sulfonate PAG and tributylamine quencher could resolve a 55-nm line and space pattern. These results provided guidelines for choosing the PAG and quencher for this polymer.
The ammonia durability of the 157-nm lithography resists is still unclear due to the smaller target dimensions, thinner resist films, and variations in base polymer compared to those of 193-nm and 248-nm resists. It has not been determined what ammonia concentrations must be achieved in order to successfully process 157-nm resists. Until now, the ammonia durability of initial 157-nm resists during post exposure delay (PED) and during post coating delay (PCD) was compared to those of 193-nm and 248-nm resists. It was confirmed that all initial 157-nm resists had low ammonia durability. In this paper, the ammonia durability of newly developed 157-nm resists, that have improved transmittance and resolution, was evaluated during PED and PCD. Then, we found that the ammonia durability of these resists were not enough and that the ammonia concentration from exposure to development should be kept under 0.1 ppb. Thermal desorption spectroscopy results showed that resists with lower ammonia durability tended to have more amount of adsorbed ammonia than other resists. Furthermore, the ammonia durability of 157-nm resist couldn’t be improved to the level of that of 193- and 248-nm resist by the adjustment amount of resist additives. Due to the low ammonia durability, it will be necessary to control the ammonia concentration below 0.1 ppb in processing equipment used in 157-nm lithography.
The bilayer process we developed for 157-nm lithography uses a fluorine-containing silsesquioxane-type resist (F-SSQ). Gate fabrication is done by using a F-SSQ(90 nm)/organic film(200 nm)/poly-Si(150 nm)/SiO2(10 nm)/Si structure. The organic film works well as an anti-reflecting layer. Using a microstepper with a numerical aperture of 0.90 and optimizing the resist thickness, we made a 50-nm 1:1 line-and-space (L/S) pattern by using an alternative phase-shifting mask and made a 45-nm SRAM by using a chromeless phase lithography mask. Neither resist pattern footing nor undercutting was observed on the organic film. The reactive ion etching (RIE) selectivity between the F-SSQ and the organic film was sufficient (about 7), the resist pattern was transferred to the underlayer, and both 50-nm 1:1 L/S and 45-nm SRAM gate patterns were made using the organic film as an etching mask. Contact hole (C/H) fabrication is done by using a F-SSQ(105 nm)/organic film(400 nm)/tetraethyl orthosilicate (TEOS)-SiO2(1200 nm)/Si structure, and we made a 75-nm 1:1 C/H pattern by using the microstepper with a binary mask. The RIE selectivity was sufficient (about 15) for making high-aspect-ratio contact holes, and we made a 75-nm 1:1 C/H pattern in 1200-nm-thick TEOS. This bilayer process is thus promising for making 65-nm-node semiconductor devices.
We fabricated NIST-format x-ray masks containing test patterns for the gate and contact-hole (C/H) levels of 4- Gbit dynamic random access memory (DRAM), and evaluated the image placement (IP) accuracy, critical dimension (CD) control, and other characteristics. Due to precise control of the stress in the TaBN absorber and CrN films and also the high stiffness of the 3micrometers -thick SiC membrane, the reproducibility of the process-induced distortion was better than 10nm. Using the high-precision EB-X3 electron beam (EB) mask writer, the best IP accuracy obtained for a finished gate-level mask was 15nm (3(sigma) ) and its CD uniformity was +/- 6nm in a 24-mm-sq field. The relative IP accuracy of the C/H-level mask with respect to the gate- level mask was 11 nm (3(sigma) ) after magnification correction. These masks are useful for exposure using a 10micrometers gap because the mask surface is convex and the out- of0plane distortion of the membrane is less than 1micrometers . The optical transmittance of the SiC membrane is large enough for the alignment system of the XRA x-ray stepper. The specifications of a 100-nm-node x-ray mask can be met by using the EB-X3 and a TaBN/CrN/SiC/Si/Pyrex x-ray mask structure.
We have developed a new production of x-ray stepper for 100- nm device fabrication and beyond. In this paper, at first we introduced two techniques that are installed into our new stepper. The second, we reported a series of exposure results using the new stepper. First technique is a new method for gap setting, which we call direct gap setting (DGS) system. The DGS system is composed of a capacitive sensor and a reflective displacement laser sensor. Since this approach does not require any preexisting mark on the substrate, three channels of the DGS system automatically complete the gap setting with an accuracy of 1.5 micrometers in a measurement range of 10-50micrometers . Second technique is a scattered light alignment system (SLA), which is a video- based optical sensor. The digital signal processing of the SLA system calculates the relative displacement between mask mark and wafer mark. The stepper is installed in our Tanashi works for x-ray exposure test. In double exposure test using one x-ray mask, the stepper achieved an overlay accuracy (mean +/- 3(sigma) ) of -1 +/- 15nm for x-axis and 4 +/- 11nm for y-axis. The result demonstrates that PXL is a strong candidate for manufacturing as we approach 100-nm scale device replication and sub-100nm region.
We developed highly precise x-ray masks and used them to fabricate 100-nm-rule gate and contact-hole (C/H) level masks for 4-Gb DRAM test processes. The masks consisted of 350-nm-thick TaBN absorbers, 3-micrometers -thick SiC membranes, 1- mm-thick Si substrates and 6.63-mm-thick Pyrex glass frames. The membranes and absorbers were deposited in facilities at Hoya Corporation. The frames were bonded to the Si substrates using anodic bonding resulting in a convex surface. An EB-X3 high-precision 100-kV electron-beam writer was used to delineate the patterns. The image placement (IP) accuracy was within +/- 15 nm in both the gate- and C/H-level masks. The critical dimension (CD) variations for 100 nm features measured in a 24 mm square chip area were within +/- 6 nm in the best case. The x-ray masks were used for overlay and CD control evaluating of he XRA mass production x-ray stepper developed by Canon, Inc. The overlay evaluation was done using a double exposure method. The C/H layer was exposed aligning to the gate marks by using the advanced dual grating lens (ADGL) method in global-alignment mode. The total overlay accuracy between the gate and C/H levels was better than +/- 30 nm, including the intra-chip IP errors caused by mask distortion. The overall CD variation for the resist patterns was within +/- 13.1 nm in the gate level and +/- 8.2 nm in the C/H level.
We measured the x-ray mask distortion induced in the back- etching step in wafer processing and evaluated the contributions of SiN or SiC membrane stress and its uniformity along with Ta absorber stress and its uniformity to the distortion. Membrane stress nonuniformity causes a large amount of distortion in SiC membrane masks while it causes a small amount in SiN membrane masks. Absorber stress nonuniformity causes a large amount of distortion in Ta/SiN membrane masks, but it is expected to be reduced by 60% in Ta/SiC membrane masks. In wafer processing, therefore, the main cause of the distortion in Ta/SiN membrane masks is SiN membrane stress and absorber stress nonuniformity while in Ta/SiC membrane masks it is SiC membrane stress and its nonuniformity. We used these distortion component data to estimate the distortion induced in membrane processing. The distortion caused by SiN or SiC membrane stress is expected to be zero and the distortion caused by SiN or SiC membrane stress nonuniformity is expected to be less than 10 nm in membrane processing. As a result, for a pattern density of 50%, adopting membrane processing would reduce process-induced distortion by 50% in Ta/SiN membrane masks and by 75% in Ta/SiC membrane masks. The combination of SiC membranes and membrane processing significantly reduces process-induced distortion.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.