We introduce the traceable calibration of a cryogenic localization microscope, enabling accurate localization of quantum dots to improve subsequent integration into photonic cavities. We combine the calibration results with an assessment of fabrication accuracy by electron-beam lithography to introduce a comprehensive model of the effects of registration errors in the integration process on Purcell factor. Our theory shows the possibility of significantly improving the magnitude and distribution of Purcell factor across a wide field, enabling dramatic increases of process yield.
Shift happens due to electron beam tilt in scanning electron microscopy. To measure this aberration effect with submilliradian uncertainty, and to calibrate scale factor and correct scanfield distortion, we introduce conical frustum arrays as multifunctional reference structures. Our concept shows promise for new accuracy in scanning electron microscopy.
Beam placement accuracy is fundamental to advanced lithography and patterning. As applications of focused ion beams in semiconductor manufacturing and adjacent topics become more demanding, our titular question on the unknown whereabouts of a beam becomes more pressing to answer. Such a question may seem frivolous, but in reality, is quite serious and nontrivial to answer. In a previous study, we identified micrometer-scale systematic errors of feature placement by our focused ion beam across an ultrawide patterning field. Such errors are of particular concern for machining standards that provide reference positions, such as aperture arrays for optical microscopy. , Conversely, our recent advances of localization traceability present a new opportunity to not only measure but also improve placement accuracy. In the present study, we quantitate feature positions by critical-dimension localization microscopy with ultrahigh throughput, revealing complex errors that extend to appalling values of several micrometers across a submillimeter field. We introduce a novel correction that reduces scale errors by three orders of magnitude and distortion errors by more than a factor of 40, dramatically improving the placement accuracy of our focused ion beam. Although this improvement occurs in a research laboratory context and for optical microscopy standards, our methods have broad implications for advanced lithography and patterning.
We begin by designing a square array with a lateral extent of 200 µm by 200 µm, a nominal pitch of 2502 nm, and apertures with a nominal diameter of 500 nm. This value of pitch separates aperture centers by an integer number of pixels across our wide patterning field and separates the aperture images beyond the resolution limit for optical microscopy and localization analysis. After fabrication, we trans-illuminate the aperture array, localize each aperture, and register the resulting positions with those of the design through a rigid transformation. This analysis shows total errors with magnitudes exceeding 2 µm, with root-mean-square values of 528.9 nm in the x direction and 1007.7 nm in the y direction (Figure 1a,c-d). A similarity transformation between the experimental and nominal positions distinguishes errors of uniform scale and complex distortion, returning the pitch of the experimental array as 2472.01 nm ± 0.27 nm, which is a scale error of 1.20 %, with additional systematic errors of distortion as large as approximately 1 µm and with root-mean-square values of 399.3 nm in both the x and y directions (Figure 1c-d). We report uncertainties as 68 % coverage intervals.
We modify the array design to negate these errors and improve placement accuracy, uniformly increasing the array pitch to account for the 1.20 % scale error and achieve a nominal pitch of 2500 nm. We model the distortion errors by an interpolant that adjusts the design position of each aperture and enables general correction within the extents of our sampling field. We machine and measure a new array and apply a similar analysis, registering the localization data with the new design. We measure a pitch of 2500.03 nm ± 0.27 nm, corresponding to a scale error of 0.001 %, and distortion errors of up to approximately 40 nm and with root-mean-square values of 9.0 nm in the x direction and 9.4 nm in the y direction (Figure 1b,c-d). In this way, we have found our focused ion beam, which had gone several micrometers astray, and returned it to its proper place to within a few tens of nanometers.
KEYWORDS: Silicon, Atomic force microscopy, Microscopy, Calibration, Electron beams, Critical dimension metrology, Transmission electron microscopy, Metrology, Scanning electron microscopy, Standards development
Nanoscale wear affects the performance of atomic force microscopy (AFM)-based measurements for all applications, including process control measurements and nanoelectronics characterization. To prevent or reduce AFM tip wear, an area of active research is required. However, most prior work has been on conventional AFMs rather than critical dimension AFMs (CD-AFMs). Hence, less is known about CD-AFM tip wear. Given that tip wear directly affects the accuracy of dimensional measurements, a basic understanding of CD-AFM tip wear is needed. Toward this goal, we evaluated the wear performance of electron beam deposited CD-AFM tips. Using a continuous scanning strategy, we evaluated the overall wear rate and tip lifetime and compared these with those of silicon-based CD-AFM tips. Our data show improved tip lifetime of as much as a factor of 5 and reduced wear rates of more than 17 times. Such improvements in wear rate means less measurement variability and lower cost.
One type of atomic force microscopy (AFM) used for critical dimension (CD) metrology is commonly referred to as CD-AFM; it uses flared tips and two-dimensional (2-D) surface sensing to enable scanning of features with near-vertical sidewalls. An important consideration in this type of CD-AFM metrology is the calibration uncertainty of the tip width (TW). Standards for traceable TW calibration have thus been developed both by National Metrology Institutes and commercial suppliers. The National Institute of Standards and Technology has previously reported the implementation of a self-consistency TW calibration using three CD-AFM tips to image each other. The results of this method were shown to be consistent with prior calibrations based on transmission electron microscope cross sections. The extension of this method to tips <50 nm is demonstrated, as well as the extension of the method to include a second lateral axis.
A critical challenge in optical critical dimension metrology, that requires high measurement sensitivity as well as high throughput, is the dimensional measurements of features sized below the optical resolution limit. This paper investigates the relationships among dimensional sensitivity and key illumination beam conditions (e.g., angular illumination, partial coherence) for photomask feature characterization. Scatterfield images at the edge areas of multiple line structures on a Molybdenum Silicide (MoSi) photomask are analyzed to establish sensitivity to dimensional changes. Actinic scatterfield imaging experiments for these features are performed using the NIST 193 nm Scatterfield Microscope, designed to enable engineered illumination beams at the target. Illumination configurations that improve sensitivity are identified from imaging edges of multiple line targets having linewidths and spaces of about 1/3 wavelength.
There are presently two major forms of atomic force microscopy (AFM) which are used for critical dimension (CD) metrology in semiconductor and nano-manufacturing metrology. One type, commonly referred to as CD-AFM, uses flared tips and two-dimensional surface sensing to enable scanning of features with near-vertical sidewalls. A major source of uncertainty in this type of CD-AFM metrology is the calibration uncertainty of the tip width (TW). Standards for traceable TW calibration have thus been developed both by national metrology institutes and by commercial suppliers. This paper describes work on a potential alternative approach using a self-consistency calibration of three CD-AFM tips. Due to the requirement for tip-on-tip imaging and challenges associated with this, the application of such methods in AFM metrology has been relatively limited. Initial results that are in agreement with the prior National Institute of Standards and Technology width calibration were obtained, and comparable levels of uncertainty should be achievable. Although the self-consistent approach is unlikely to supplant transmission electron microscope cross sections and the use of well-characterized standards, it may have value as a supporting method or for validation of a prior result, and it may ultimately be as useful for evaluation of tip shape parameters—such as vertical edge height—as for TW calibration.
Since 2004, standards for calibration of critical dimension atomic force microscope (CD-AFM) tip width have been available both commercially and through the National Metrology Institutes, such as the National Institute of Standards and Technology in the United States. There have been interlaboratory and intermethod comparisons performed on such samples, but less attention has been paid to the long-term stability of standards and monitoring for damage, wear, or contamination. Using three different CD-AFM instruments, we have tested the consistency and long-term stability of two independent reference calibrations for CD-AFM tip width. Both of these tip width calibrations were based on independently implemented transmission electron microscope reference measurements. There were circumstances in which damage occurred or samples needed to be cleaned. Nevertheless, our results show agreement within the uncertainties and stability over a period exceeding 10 years.
The critical dimension atomic force microscopy (CD-AFM) has been proposed as an instrument for contour measurement and verification since its capabilities are complementary to the widely used scanning electron microscopy (SEM). Although data from CD-AFM are three dimensional (3-D) in structure, the planar two-dimensional data required for contour metrology are not easily extracted from CD-AFM data. This is largely due to the limitations of the CD-AFM method for controlling the tip position and scanning, in which the relevant sidewall data are only obtained in one lateral axis. To use CD-AFM for contour metrology, the extracted profiles must include actual sidewall data from both lateral axes. Using two images acquired from orthogonal scan directions, profile extraction, and a method to combine those profiles, a technique for obtaining contours with the CD-AFM is developed. The main sources of error for this technique are described. The contours derived from CD-AFM were compared with those obtained using the SEM. Our results show that CD-AFM has the potential to make important contributions to semiconductor contour metrology.
One of the key challenges in critical dimension (CD) metrology is finding suitable dimensional calibration standards. The transmission electron microscope (TEM), which produces lattice-resolved images having scale traceability to the SI (International System of Units) definition of length through an atomic lattice constant, has gained wide usage in different areas of CD calibration. One such area is critical dimension atomic force microscope (CD-AFM) tip width calibration. To properly calibrate CD-AFM tip widths, errors in the calibration process must be quantified. Although the use of TEM for CD-AFM tip width calibration has been around for about a decade, there is still confusion on what should be considered in the uncertainty analysis. We characterized CD-AFM tip-width samples using high-resolution TEM and high angle annular dark field scanning TEM and two CD-AFMs that are implemented as reference measurement systems. The results are used to outline how to develop a rigorous uncertainty estimate for TEM/CD-AFM calibration, and to compare how information from the two electron microscopy modes are applied to practical CD-AFM measurements. The results also represent a separate validation of previous TEM/CD-AFM calibration. Excellent agreement was observed.
KEYWORDS: Scanning electron microscopy, Line edge roughness, Carbon nanotubes, Atomic force microscope, Metrology, Atomic force microscopy, Standards development, Silicon, Manufacturing, Ion beams
The decreasing size of semiconductor features and the increasing structural complexity of advanced devices have placed continuously greater demands on manufacturing metrology, arising both from the measurement challenges of smaller feature sizes and the growing requirement to characterize structures in more than just a single critical dimension. For scanning electron microscopy, this has resulted in increasing sophistication of imaging models. For critical dimension atomic force microscopes (CD-AFMs), this has resulted in the need for smaller and more complex tips. Carbon nanotube (CNT) tips have thus been the focus of much interest and effort by a number of researchers. However, there have been significant issues surrounding both the manufacture and use of CNT tips. Specifically, the growth or attachment of CNTs to AFM cantilevers has been a challenge to the fabrication of CNT tips, and the flexibility and resultant bending artifacts have presented challenges to using CNT tips. The Korea Research Institute for Standards and Science (KRISS) has invested considerable effort in the controlled fabrication of CNT tips and is collaborating with the National Institute of Standards and Technology on the application of CNT tips for CD-AFM. Progress by KRISS on the precise control of CNT orientation, length, and end modification, using manipulation and focused ion beam processes, has allowed us to implement ball-capped CNT tips and bent CNT tips for CD-AFM. Using two different generations of CD-AFM instruments, we have evaluated these tip types by imaging a line/space grating and a programmed line edge roughness specimen. We concluded that these CNTs are capable of scanning the profiles of these structures, including re-entrant sidewalls, but there remain important challenges to address. These challenges include tighter control of tip geometry and careful optimization of scan parameters and algorithms for using CNT tips.
Lattice-selective etching of silicon is used in a number of applications, but it is particularly valuable in those for which the lattice-defined sidewall angle can be beneficial to the functional goals. A relatively small but important niche application is the fabrication of tip characterization standards for critical dimension atomic force microscopes (CD-AFMs). CD-AFMs are commonly used as reference tools for linewidth metrology in semiconductor manufacturing. Accurate linewidth metrology using CD-AFM, however, is critically dependent upon calibration of the tip width. Two national metrology institutes and at least two commercial vendors have explored the development of tip calibration standards using lattice-selective etching of crystalline silicon. The National Institute of Standards and Technology standard of this type is called the single crystal critical dimension reference material. These specimens, which are fabricated using a lattice-plane-selective etch on (110) silicon, exhibit near vertical sidewalls and high uniformity and can be used to calibrate CD-AFM tip width to a standard uncertainty of less than 1 nm. During the different generations of this project, we evaluated variations of the starting material and process conditions. Some of our starting materials required a large etch bias to achieve the desired linewidths. During the optimization experiment described in this paper, we found that for potassium hydroxide etching of the silicon features, it was possible to independently tune the target linewidth and minimize the linewidth nonuniformity. Consequently, this process is particularly well suited for small-batch fabrication of CD-AFM linewidth standards.
Sidewall sensing in critical dimension atomic force microscopes (CD-AFMs) usually involves continuous lateral dithering of the tip or the use of a control algorithm and fast response piezoactuator to position the tip in a manner that resembles touch-triggering of coordinate measuring machine probes. All methods of tip position control, however, induce an effective tip width that may deviate from the actual geometrical tip width. Understanding the influence and dependence of the effective tip width on the dither settings and lateral stiffness of the tip can improve the measurement accuracy and uncertainty estimation for CD-AFM measurements. Since CD-AFM typically uses tips that range from 15 to 850 nm in geometrical width, the behavior of effective tip width throughout this range should be understood. The National Institute of Standards and Technology (NIST) has been investigating the dependence of effective tip width on the dither settings and lateral stiffness of the tip, as well as the possibility of material effects due to sample composition. For tip widths of 130 nm and lower, which also have lower lateral stiffness, the response of the effective tip width to lateral dither is greater than for larger tips. However, we have concluded that these effects will not generally result in a residual bias, provided that the tip calibration and sample measurement are performed under the same conditions. To confirm that our prior conclusions about the dependence of effective tip width on lateral stiffness are valid for large CD tips, we recently performed experiments using a very large non-CD tip with an etched plateau of ∼2-μm width. The effective lateral stiffness of these tips is at least 20 times greater than typical CD-AFM tips, and these results supported our prior conclusions about the expected behavior for larger tips. The bottom-line importance of these latest observations is that we can now reasonably conclude that a dither slope of 3 nm/V is the baseline response due to the induced motion of the cantilever base.
We report the initial results of a recent bilateral comparison of linewidth or critical dimension (CD) calibrations on photomask line features between two national metrology institutes (NMIs): the National Institute of Standards and Technology (NIST) in the United States and the Physikalisch-Technische Bundesanstalt (PTB) in Germany. For the comparison, a chrome on glass (CoG) photomask was used which has a layout of line features down to 100 nm nominal size. Different measurement methods were used at both institutes. These included: critical dimension atomic force microscopy (CD-AFM), CD scanning electron microscopy (CD-SEM) and ultraviolet (UV) transmission optical microscopy. The measurands are CD at 50 % height of the features as well as sidewall angle and line width roughness (LWR) of the features. On the isolated opaque features, we found agreement of the CD measurements at the 3 nm to 5 nm level on most features – usually within the combined expanded uncertainties of the measurements.
Critical dimension atomic force microscopes (CD-AFMs) use flared tips and two-dimensional sensing and control of the tip-sample interaction to enable scanning of features with near-vertical or even reentrant sidewalls. Sidewall sensing in CD-AFM usually involves lateral dither of the tip, which was the case in the first two generations of instruments. Current, third generation instruments also utilize a control algorithm and fast response piezo actuator to position the tip in a manner that resembles touch-triggering of coordinate measuring machine (CMM) probes. All methods of tip position control, however, induce an effective tip width that may deviate from the actual geometrical tip width. The National Institute of Standards and Technology (NIST) has been investigating the dependence of effective tip width on the dither settings and lateral stiffness of the tip, as well as the possibility of material effects due to sample composition. We have concluded that these effects will not generally result in a residual bias, provided that the tip calibration and sample measurement are performed under the same conditions. To further validate our prior conclusions about the dependence of effective tip width on lateral stiffness, we recently performed experiments using a very large non-CD tip with an etched plateau of approximately 2 μm width. The effective lateral stiffness of these tips is at least 20 times greater than typical CD-AFM tips, and these results supported our prior conclusions about the expected behavior for larger tips. The bottom-line importance of these latest observations is that we can now reasonably conclude that a dither slope of 3 nm/V is the baseline response due to the induced motion of the cantilever base.
KEYWORDS: Atomic force microscopy, Transmission electron microscopy, Calibration, System on a chip, Metrology, Atomic force microscope, Silicon, Photomicroscopy, Semiconductors, Critical dimension metrology
In atomic force microscopy (AFM) metrology, the scanning tip is a major source of uncertainty. Images taken with an
AFM show an apparent broadening of feature dimensions due to the finite size of the tip. An AFM image is a
combination of the feature shape, the tip geometry and details of the tip-sample interaction. Here we describe the use of
a new multi-feature characterizer for CD-AFM tip, and report initial measurement results. The results are compared with
those obtained from the current tip characterizer.
KEYWORDS: Atomic force microscopy, Data modeling, 3D modeling, Numerical analysis, Error analysis, Metrology, Statistical modeling, Carbon nanotubes, Solids, Standards development
Critical dimension atomic force microscopy (CD-AFM) is a widely used reference metrology technique. To characterize modern semiconductor devices, small and flexible probes, often 15 to 20 nm in diameter, are used. Recent studies have reported uncontrolled and significant probe-to-probe bias variation during linewidth and sidewall angle measurements. To understand the source of these variations, tip-sample interactions between high aspect ratio features and small flexible probes, and their influence on measurement bias, should be carefully studied. Using theoretical and experimental procedures, one-dimensional (1-D) and two-dimensional (2-D) models of cylindrical probe bending relevant to carbon nanotube (CNT) AFM probes were developed and tested. An earlier 1-D bending model was refined, and a new 2-D distributed force (DF) model was developed. Contributions from several factors were considered, including: probe misalignment, CNT tip apex diameter variation, probe bending before snapping, and distributed van der Waals-London force. A method for extracting Hamaker probe-surface interaction energy from experimental probe-bending data was developed. Comparison of the new 2-D model with 1-D single point force (SPF) model revealed a difference of about 28% in probe bending. A simple linear relation between biases predicted by the 1-D SPF and 2-D DF models was found. The results suggest that probe bending can be on the order of several nanometers and can partially explain the observed CD-AFM probe-to-probe variation. New 2-D and three-dimensional CD-AFM data analysis software is needed to take full advantage of the new bias correction modeling capabilities.
There has been much recent work in developing advanced optical metrology applications that use imaging optics for
optical critical dimension (OCD) measurements, defect detection, and for potential use with in-die metrology
applications. We have previously reported quantitative measurements for sub-50 nm CD dense arrays which scatter only the 0th-order specular diffraction component using angle-resolved scatterfield microscopy. Through angle-resolved and focus-resolved imaging, we now measure OCD targets with three-dimensional scattered fields that contain multiple Fourier frequencies. Experimental sensitivity to nanometer scale linewidth changes is presented, supported by simulation studies. A new, more advanced approach to tool normalization is coupled with rigorous electromagnetic simulations and library based regression fitting that potentially enables OCD measurements with sub-nanometer uncertainties for targets that scatter multiple Fourier frequencies.
A robust technique is presented for auto-aligning nanostructures to slow-etching planes during crystallographic etching of silicon. Lithographic mask patterns are modified from the intended dimensions of the nanostructures to compensate for uncertainty in crystal axis orientation. The technique was employed in fabricating silicon nanolines having lengths of 600 nm and widths less than 5 nm, subjected to intentional misalignment of up to ±1 deg. After anisotropic etching, the auto-aligned structures exhibited as little as 1 nm of width variation, as measured by a critical dimension atomic force microscope, across 2 deg of variation in orientation. By contrast, the widths of control structures fabricated without auto-alignment showed 8 nm of variation. Use of the auto-alignment technique can eliminate the need for fiducial-based alignment methods in a variety of applications.
The National Institute of Standards and Technology (NIST) is developing a new generation of standards for calibration
of CD-AFM tip width. These standards, known as single crystal critical dimension reference materials (SCCDRM)
have features with near-vertical sidewalls. This is accomplished using preferential etching on (110) silicon-on-insulator
(SOI) substrates. As such, these structures are particularly useful for CD-AFM tip width calibration.
As part of a previous generation of SCCDRMs that was released to the Member Companies of SEMATECH, we were
able to deliver structures with linewidths ranging from as low as 50 nm up to 240 nm. These typically had expanded
uncertainties (k = 2) of between 1.5 nm and 2 nm. Subsequently, these chips were used as a traceable source of tip
width calibration for CD-AFM by SEMATECH and several Member Companies.
We are now working on a new generation of SCCDRMs with the goal of reducing linewidth expanded uncertainties,
and we are using our new CD-AFM to support this development. The features are patterned using electron beam
lithography with equipment available in the new nanofabrication facility within the Center for Nanoscale Science and
Technology (CNST) at NIST. Intact features as small as 10 nm have been observed with line width roughness (LWR)
sufficiently low to support 1 nm expanded uncertainties. We believe it will be possible to fabricate features as small as
5 nm, and we are now working to refine the fabrication process and to assess the limits of our approach.
KEYWORDS: 3D metrology, Optical metrology, Polarization, Light scattering, Statistical analysis, 3D image processing, Data acquisition, Metrology, Atomic force microscopy, Silicon
There has been much recent work in developing advanced optical metrology applications that use imaging optics for
critical dimension measurements, defect detection and for potential use with in-die metrology. Sensitivity to nanometer
scale changes has been observed when measuring critical dimensions of sub-wavelength features or when imaging
defects below 20 nm using angle-resolved and focus-resolved optical data. However, these methods inherently involve
complex imaging optics and analysis of complicated three-dimensional electromagnetic fields. This paper will develop a
new approach to enable the rigorous analysis of three-dimensional through-focus optical images. We use rigorous
electromagnetic simulation tools and statistical methods to evaluate sensitivities and uncertainties in the measurement of
three dimensional layouts encountered in critical dimension, contour metrology and defect inspection.
KEYWORDS: Data modeling, 3D modeling, Numerical analysis, Metrology, Atomic force microscopy, Statistical analysis, Standards development, Semiconductors, 3D metrology, Statistical modeling
Critical Dimension AFM (CD-AFM) is a widely used reference metrology. To characterize modern semiconductor
devices, very small and flexible probes, often 15 nm to 20 nm in diameter, are now frequently used. Several recent
publications have reported on uncontrolled and significant probe-to-probe bias variation during linewidth and sidewall
angle measurements [1,2]. Results obtained in this work suggest that probe bending can be on the order of several
nanometers and thus potentially can explain much of the observed CD-AFM probe-to-probe bias variation. We have
developed and experimentally tested one-dimensional (1D) and two-dimensional (2D) models to describe the bending of
cylindrical probes. An earlier 1D bending model reported by Watanabe et al. [3] was refined. Contributions from several
new phenomena were considered, including: probe misalignment, diameter variation near the carbon nanotube tip (CNT)
apex, probe bending before snapping, distributed van der Waals-London force, etc. The methodology for extraction of
the Hamaker probe-surface interaction energy from experimental probe bending data was developed. To overcome
limitations of the 1D model, a new 2D distributed force (DF) model was developed. Comparison of the new model with
the 1D single point force (SPF) model revealed about 27 % difference in probe bending bias between the two. A simple
linear relation between biases predicted by the 1D SPF and 2D DF models was found. This finding simplifies use of the
advanced 2D DF model of probe bending in various CD-AFM applications. New 2D and three-dimensional (3D) CDAFM
data analysis software is needed to take full advantage of the new bias correction modeling capabilities.
KEYWORDS: Scanning electron microscopy, Metrology, Atomic force microscopy, Lithography, Data acquisition, Optical proximity correction, Atomic force microscope, Electron microscopes, Scanning helium ion microscopy, 3D metrology
The critical dimension atomic force microscope (CD-AFM), which is used as a reference instrument in lithography
metrology, has been proposed as a complementary instrument for contour measurement and verification. Although data
from CD-AFM is inherently three dimensional, the planar two-dimensional data required for contour metrology is not
easily extracted from the top-down CD-AFM data. This is largely due to the limitations of the CD-AFM method for
controlling the tip position and scanning.
We describe scanning techniques and profile extraction methods to obtain contours from CD-AFM data. We also
describe how we validated our technique, and explain some of its limitations. Potential sources of error for this approach
are described, and a rigorous uncertainty model is presented. Our objective is to show which data acquisition and
analysis methods could yield optimum contour information while preserving some of the strengths of CD-AFM
metrology. We present comparison of contours extracted using our technique to those obtained from the scanning
electron microscope (SEM), and the helium ion microscope (HIM).
The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope (AFM) dimensional metrology. One component of this program, and the focus of this paper, is the use of critical dimension atomic force microscopy (CD-AFM). CD-AFM is a commercially available AFM technology that uses flared tips and two-dimensional surface sensing to scan the sidewalls of near-vertical or even reentrant features. Features of this sort are commonly encountered in semiconductor manufacturing and other nanotechnology industries.
NIST has experience in the calibration and characterization of CD-AFM instruments and in the development of uncertainty budgets for typical measurements in semiconductor manufacturing metrology. A third generation CD-AFM was recently installed at NIST. The current performance of this instrument for pitch and height measurements generally supports our relative expanded uncertainty (k = 2) goals in the range of 2.0×10−3 and lower.
Additionally, a new generation of the NIST single crystal critical dimension reference material (SCCDRM) project is pushing toward feature widths below 10 nm, with the prospect of CD-AFM tip width calibration having expanded uncertainty (k = 2) below 1 nm.
Contour metrology is one of the techniques used to verify optical proximity correction (OPC) in lithography models.
These methods, known as resolution enhancement techniques (RET), are necessary to continue the decrease in integrated
circuit feature sizes. Broadly speaking, RET are used to compensate for lithography errors to ensure better image transfer
from the mask to the wafer and subsequence processing. Contours extracted from the printed features are used to verify
the OPC models. Currently, the scanning electron microscope (SEM) is used to generate and verify the contours. The
critical dimension atomic force microscope (CD-AFM), which is being used as a reference instrument in lithography
metrology, has been proposed as a supplemental instrument for contour verification. This is mostly due to the relative
insensitivity of the CD-AFM to material properties, the three-dimensional data, and the ability to make the instrument
traceable to the SI unit of length.
However, although the data from the CD-AFM is inherently three dimensional, the planar two-dimensional data required
for contour metrology is not easily compared with the top-down AFM data. This is mostly due to the effect of the CDAFM
tip and the scanning strategy. In this paper we outline some of the methods for acquiring contour data using the
CD-AFM. Specifically, we look at different scanning strategies, tip types, contour extraction methods, and imaging
modes. We compare contours extracted using our method to those acquired using the SEM.
The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope
(AFM) dimensional metrology. One component of this effort is a custom in-house metrology AFM, called the
calibrated AFM (C-AFM). The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm
wavelength of the iodine-stabilized He-Ne laser.
A second major component of this program, and the focus of this paper, is the use of critical dimension atomic force
microscopy (CD-AFM). CD-AFM is a commercially available AFM technology that uses flared tips and twodimensional
surface sensing to scan the sidewalls of near-vertical or even reentrant features. Features of this sort are
commonly encountered in semiconductor manufacturing and other nanotechnology industries.
NIST has experience in the calibration and characterization of CD-AFM instruments and in the development of
uncertainty budgets for typical measurands in semiconductor manufacturing metrology. A third generation CD-AFM
was recently installed at NIST. The current performance of this instrument for pitch and height measurements appears
to support our relative expanded uncertainty (k = 2) goals in the range of 1.0 × 10-3 down to 1.0 × 10-4.
Through-focus scanning optical microscopy (TSOM) is another 'scanning' based method that provides threedimensional
information (i.e. the size, shape and location) about micro- and nanometer-scale structures. TSOM, based
on a conventional optical microscope, achieves this by acquiring and analyzing a set of optical images collected at
various focus positions going through focus (from above-focus to under-focus). The measurement sensitivity is
comparable to what is possible with typical light scatterometry, SEM and AFM. One of the unique characteristics of the
TSOM method is its ability to separate different dimensional differences (i.e. ability to distinguish, for example,
linewidth difference from line height difference), and hence is expected to reduce measurement uncertainty. TSOM
holds the promise of high-throughput, through comparative measurement applications for wide variety of application
areas with potentially significant savings and yield improvements.
KEYWORDS: Atomic force microscopy, Metrology, Statistical analysis, Data modeling, Reflectivity, Optical testing, Model-based design, 3D modeling, Oxides, Scanning electron microscopy
We present a method to combine measurements from different techniques that reduces uncertainties and can improve
measurement throughput. The approach directly integrates the measurement analysis of multiple techniques that can
include different configurations or platforms. This approach has immediate application when performing model-based
optical critical dimension (OCD) measurements. When modeling optical measurements, a library of curves is assembled
through the simulation of a multi-dimensional parameter space. Parametric correlation and measurement noise lead to
measurement uncertainty in the fitting process with fundamental limitations resulting from the parametric correlations. A
strategy to decouple parametric correlation and reduce measurement uncertainties is described. We develop the rigorous
underlying Bayesian statistical model and apply this methodology to OCD metrology. We then introduce an approach to
damp the regression process to achieve more stable and rapid regression fitting. These methods that use a priori
information are shown to reduce measurement uncertainty and improve throughput while also providing an improved
foundation for comprehensive reference metrology.
In this paper we present a method to combine measurement techniques that reduce uncertainties and improve
measurement throughput. The approach has immediate utility when performing model-based optical critical dimension
(OCD) measurements. When modeling optical measurements, a library of curves is assembled through the simulation of
a multi-dimensional parameter space. Parametric correlation and measurement noise lead to measurement uncertainty in
the fitting process resulting in fundamental limitations due to parametric correlations. We provide a strategy to decouple
parametric correlation and reduce measurement uncertainties. We also develop the rigorous underlying Bayesian
statistical model to apply this methodology to OCD metrology. These statistical methods use a priori information
rigorously to reduce measurement uncertainty, improve throughput and develop an improved foundation for
comprehensive reference metrology.
KEYWORDS: Silicon, Overlay metrology, Scanning electron microscopy, Optical microscopes, Metrology, 3D acquisition, 3D metrology, Polarization, 3D modeling, Photomasks
Through-focus scanning optical microscopy (TSOM) is a new metrology method that achieves 3D nanoscale
measurement sensitivity using conventional optical microscopes; measurement sensitivities are comparable to what is
typical when using scatterometry, scanning electron microscopy (SEM), and atomic force microscopy (AFM). TSOM
can be used in both reflection and transmission modes and is applicable to a variety of target materials and shapes.
Nanometrology applications that have been demonstrated by experiments or simulations include defect analysis,
inspection and process control; critical dimension, photomask, overlay, nanoparticle, thin film, and 3D interconnect
metrologies; line-edge roughness measurements; and nanoscale movements of parts in MEMS/NEMS. Industries that
could benefit include semiconductor, data storage, photonics, biotechnology, and nanomanufacturing. TSOM is
relatively simple and inexpensive, has a high throughput, and provides nanoscale sensitivity for 3D measurements with
potentially significant savings and yield improvements in manufacturing.
The National Institute of Standards and Technology (NIST), Advanced Surface Microscopy (ASM), and the National Metrology Centre (NMC) of the Agency for Science, Technology, and Research (A*STAR) in Singapore have completed a three-way interlaboratory comparison of traceable pitch measurements using atomic force microscopy (AFM). The specimen being used for this comparison is provided by ASM and consists of SiO2 lines having a 70-nm pitch patterned on a silicon substrate. For this comparison, NIST used its calibrated atomic force microscope (C-AFM), an AFM with incorporated displacement interferometry, to participate in this comparison. ASM used a commercially available AFM with an open-loop scanner, calibrated with a 144-nm pitch transfer standard. NMC/A*STAR used a large scanning range metrological atomic force microscope with He-Ne laser displacement interferometry incorporated. The three participants have independently established traceability to the SI (International System of Units) meter. The results obtained by the three organizations are in agreement within their expanded uncertainties and at the level of a few parts in 104.
A key requirement for nanomanufacturing is maintaining acceptable traceability of measurements performed to determine size. Given that properties and functionality at the nanoscale are governed by absolute size, maintaining the traceability of dimensional measurements of nanoscale devices is crucial to the success of nanomanufacturing. There are various strategies for introducing traceability into the nanomanufacturing environment. Some involve first principles, but most entail the use of calibrated artifacts. In an environment where different types of products are manufactured, it is challenging to maintain traceability across different products mix. In this paper, we present some of the work we have done in developing methods to track the traceability of dimensional measurements performed in a wafer fabrication facility. We combine the concepts of reference measurement system, measurement assurance, and metrological timelines to ensure that traceability is maintained through a series of measurements that involve different instruments and product mixes, spanning a four-year period. We show how to use knowledge of process-induced and instrument systematic errors, among others, to ensure that the traceability of the measurements is maintained.
The National Institute of Standards and Technology (NIST), Advanced Surface Microscopy (ASM), and the National
Metrology Centre (NMC) of the Agency for Science, Technology, and Research (A*STAR) in Singapore have
completed a three-way interlaboratory comparison of traceable pitch measurements using atomic force microscopy
(AFM). The specimen being used for this comparison is provided by ASM and consists of SiO2 lines having a 70 nm
pitch patterned on a silicon substrate.
NIST has a multifaceted program in atomic force microscope (AFM) dimensional metrology. One component of this
effort is a custom in-house metrology AFM, called the calibrated AFM (C-AFM). The NIST C-AFM has displacement
metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized He-Ne laser - a recommended
wavelength for realization of the SI (Système International d'Unités, or International System of Units) meter. NIST
used the C-AFM to participate in this comparison.
ASM used a commercially available AFM with an open-loop scanner, calibrated by a 144 nm pitch transfer standard. In
a prior collaboration with Physikalisch-Technische Bundesanstalt (PTB), the German national metrology institute,
ASM's transfer standard was calibrated using PTB's traceable optical diffractometry instrument. Thus, ASM's
measurements are also traceable to the SI meter.
NMC/A*STAR used a large scanning range metrological atomic force microscope (LRM-AFM). The LRM-AFM
integrates an AFM scanning head into a nano-stage equipped with three built-in He-Ne laser interferometers so that its
measurement related to the motion on all three axes is directly traceable to the SI meter.
The measurements for this interlaboratory comparison have been completed and the results are in agreement within
their expanded uncertainties and at the level of a few parts in 104.
We aim to develop and calibrate a set of step height standards to meet the range of steps useful for nanotechnology. Of particular interest to this community is the calibration of atomic force microscopes operating at their highest levels of magnification. In previous work we fabricated and calibrated step height standards consisting of the lattice steps on the (111) surface of single crystal Si and provided a recommended value of 312 pm ± 12 pm. In the current work we report traceable measurements of 1 nm step height specimens fabricated on the (0001) 4H-SiC surface. In this, we are seeking to fill in the range between the newly available 300 pm steps and 8 nm steps, which are the smallest available commercially. The step height measurements were performed using a calibrated atomic force microscope (C-AFM) calibrated with respect to the wavelength of light along all three axes of motion. Analysis of the measurements yields an average step height value of 0.981 nm with a combined standard uncertainty of ± 0.019 nm (k = 1), reasonably consistent with the expected value of 1.00851 nm derived from the parameters of the SiC crystal lattice.
KEYWORDS: Scanning electron microscopy, Metrology, Scattering, Monte Carlo methods, Atomic force microscopy, Silicon, Critical dimension metrology, Interfaces, Laser scattering, Electron microscopes
In contour metrology the CD-SEM (critical dimension scanning electron microscope) assigns a continuous
boundary to extended features in an image. The boundary is typically assigned as a simple function of the
signal intensity, for example by a brightness threshold or gradient. However, the neighborhood of different
points along the feature boundary may vary considerably. Some parts of the boundary may have close
neighboring features while others are relatively isolated. Neighboring features can obstruct the escape of
secondary electrons. Varying proximity of neighbors therefore represents an influence on detected intensity. An
intensity difference caused by a neighborhood difference can be incorrectly interpreted as a contour shift, for
example when the contour passes from an isolated neighborhood to a dense one. The magnitude of this offset
variation is estimated using images produced by JMONSEL, a Monte Carlo simulator of SEM secondary
electron imaging, from simple model test patterns with varying neighborhoods. Similar structures were
subsequently measured by both SEM and atomic force microscopy (AFM). Apparent shifts (i.e., errors) on the
order of 0.5 nm to 1.0 nm for each edge were observed in both modeled and measured SEM images as
compared to AFM when edge positions were assigned by using a fixed image brightness contour. Assignment
of edges by brightness relative to the local background and local maximum brightness resulted in
measurements that were less sensitive to neighborhood differences.
In preparation for the international Nano1 linewidth comparison on photomasks between nine national metrology institutes,
the National Institute of Standards and Technology (NIST) and the Physikalisch-Technische Bundesanstalt (PTB),
initiated a bilateral linewidth comparison in 2008, independent of and prior to the Nano1 comparison in order to test the
suitability of the mask standards and the general approach to be used for the Nano1 comparison. This paper reports on
the current status of the bilateral comparison. In particular the methods for linewidth metrology applied at NIST and
PTB and its major uncertainty contributions will be discussed based on actual measurements results for both of the mask
standards chosen for the bilateral comparison.
A key requirement for nanomanufacturing is maintaining acceptable traceability of measurements performed to
determine size. Given that properties and functionality at the nanoscale are governed by absolute size, maintaining the
traceability of dimensional measurements of nanoscale devices is crucial to the success of nanomanufacturing. There are
various strategies for introducing traceability into the nanomanufacturing environment. Some involve first principles, but
most entail the use of calibrated artifacts. In an environment where different types of products are manufactured, it is
challenging to maintain traceability across different products mix.
In this paper, we present some of the work we have done in developing methods to track the traceability of dimensional
measurements performed in a wafer fabrication facility. We combine the concepts of reference measurement system,
measurement assurance, and metrological timelines to ensure that traceability is maintained through a series of
measurements that involve different instruments and product mixes, spanning a four-year period. We show how to use
knowledge of process-induced and instrument systematic errors, among others, to ensure that the traceability of the
measurements is maintained.
This paper introduces recent advances in scatterfield microscopy using improved normalization and fitting procedures.
Reduced measurement uncertainties are obtained through the use of more accurate normalization procedures in
combination with better parametric fitting algorithms. A new approach to embed atomic force microscopy (AFM) or
other reference metrology measurements directly into the uncertainty analysis and library-fitting process is used to
reduce parametric uncertainties. We present both simulation results and experimental data demonstrating this new
method, which is based on Bayesian analysis as applied to library-based regression. We develop the statistical methods
to implement this approach of nested uncertainty analysis and give several examples that demonstrate reduced
uncertainties in the final combined measurements. The approach is also demonstrated in a combined reference
metrology application using multiple independent measurement methods.
In 2004, the National Institute of Standards and Technology (NIST) commissioned the Advanced Measurement
Laboratory (AML) - a state-of-the-art, five-wing laboratory complex for leading edge NIST research. The NIST
NanoFab - a 1765 m2 (19,000 ft2) clean room with 743 m2 (8000 ft2) of class 100 space - is the anchor of this facility
and an integral component of the new Center for Nanoscale Science and Technology (CNST) at NIST.
Although the CNST/NanoFab is a nanotechnology research facility with a different strategic focus than a current high
volume semiconductor fab, metrology tools still play an important role in the nanofabrication research conducted here.
Some of the metrology tools available to users of the NanoFab include stylus profiling, scanning electron microscopy
(SEM), and atomic force microscopy (AFM).
Since 2001, NIST has collaborated with SEMATECH to implement a reference measurement system (RMS) using
critical dimension atomic force microscopy (CD-AFM). NIST brought metrology expertise to the table and
SEMATECH provided access to leading edge metrology tools in their clean room facility in Austin. Now, in the newly
launched "clean calibrations" thrust at NIST, we are implementing the reference metrology paradigm on several tools in
the CNST/NanoFab. Initially, we have focused on calibration, monitoring, and uncertainty analysis for a three-tool set
consisting of a stylus profiler, an SEM, and an AFM.
Our larger goal is the development of new and supplemental calibrations and standards that will benefit from the
Class 100 environment available in the NanoFab and offering our customers calibration options that do not require
exposing their samples to less clean environments. Toward this end, we have completed a preliminary evaluation of the
performance of these instruments. The results of these evaluations suggest that the achievable uncertainties are
generally consistent with our measurement goals.
In this paper we present overlay measurement techniques that use small overlay targets for advanced semiconductor
applications. We employ two different optical methods to measure overlay using modified conventional optical
microscope platforms. They are scatterfield and through-focus scanning optical microscope (TSOM) imaging methods.
In the TSOM method a target is scanned through the focus of an optical microscope, simultaneously acquiring optical
images at different focal positions. The TSOM images are constructed using the through-focus optical images. Overlay
analysis is then performed using the TSOM images. In the scatterfield method, a small aperture is scanned at the
conjugate back focal plane of an optical microscope. This enables angle-resolved scatterometry on a high-magnification
optical platform. We also present evaluation of optical constants using the scatterfield method.
This paper compares and contrasts different combinations of scatterfield and scatterometry optical configurations as well
as introduces a new approach to embedding atomic force microscopy (AFM) or other reference metrology results
directly in the uncertainty analysis and library-fitting process to reduce parametric uncertainties. We present both
simulation results and experimental data demonstrating this new method, which is based on the application of a Bayesian
analysis to library-based regression fitting of optical critical dimension (OCD) data. We develop the statistical methods
to implement this approach of nested uncertainty analysis and give several examples, which demonstrate reduced
uncertainties in the final combined measurements. The approach is also demonstrated through a combined reference
metrology application using several independent measurement methods.
The current photomask linewidth Standard Reference Material (SRM) supplied by the National Institute of Standards
and Technology (NIST), SRM 2059, is the fifth generation of such standards for mask metrology. An in house optical
microscope tool developed at NIST, called the NIST ultra-violet (UV) microscope, was used in transmission mode to
calibrate the SRM 2059 photomasks. Due to the limitations of available optical models for determining the edge
response in the UV microscope, the tool was used in a comparator mode.
One of the masks was selected as a master standard - and the features on this mask were calibrated using traceable
critical dimension atomic force microscope (CD-AFM) dimensional metrology. The optical measurements were then
used to determine the relative offsets between the widths on the master standard and individual masks for sale to
customers. At the time of these measurements, however, the uncertainties in the CD-AFM reference metrology on the
master standard were larger than can now be achieved because the NIST single crystal critical dimension reference
material (SCCDRM) project had not been completed.
Using our CD-AFM at NIST, we have performed new measurements on the SRM 2059 master standard. The new AFM
results are in agreement with the prior measurements and have expanded uncertainties approximately one fourth of
those of the earlier results for sub-micrometer features. When the optical comparator data for customers masks are
reanalyzed using these new AFM results, we expect to reduce the combined reported uncertainties for the linewidths on
the actual SRMs by at least 40 % for the nominal 0.25 μm features.
In preparation of the international Nano1 linewidth comparison on photomasks between 9 national metrology institutes,
NIST and PTB have started a bilateral linewidth comparison in 2008, independent of and prior to the Nano1 comparison
in order to test the suitability of the mask standards and the general approach to be used for the Nano1 comparison. This
contribution describes the rationale of both comparisons, the design of the mask comparison standards to be used and the
measurement methods applied for traceable photomask linewidth metrology at NIST and PTB.
Due to greater emphasis on precision than accuracy, many of the measurements made in semiconductor fabrication
facilities are not traceable to the SI (Systeme International d'Unites or International System of Units) unit of length.
However as the feature sizes of integrated circuits decrease and the use of lithography models becomes more prevalent,
the need for accuracy cannot be overemphasized. In response, the National Institute of Standards and Technology (NIST)
in conjunction with SEMATECH has developed a reference measurement system (RMS) that can be used to provide
accurate measurements for inline metrology tools. The RMS is a critical dimension atomic force microscope (CD-AFM)
with traceability to the SI meter.
In this paper we present a set of strategies for achieving accuracy for different types of measurands within an RMS and
examine several important factors when selecting reference instruments. We also present results of a recent evaluation of
linewidth and height using two CD-AFMs and a calibrated AFM with displacement interferometry in all three axes. We
further look at the stability of tips such as carbon nanotubes.
The growing demands of metrology have tightened the allowable tolerances of depth and step height measurements in
semiconductor and nanotechnology fabrication. With manufacturing tolerances in the range of 1 nm to 3 nm, special
care is required to achieve calibration traceable to the SI (Systeme International d'Unites, or International System of
Units) meter in order to meet manufacturing requirements. This paper describes the steps taken to achieve this level of
measurement capability. The methodology used to achieve this traceable calibration is to use an inclined plane to
establish linearity over the step height range of interest of a reference critical dimension atomic force microscope (CDAFM)
and then to link a single traceable step height somewhere within this range. The deviations from perfect linearity
in the vertical position are shown in the paper. Then using this newly calibrated reference CD-AFM, various step height
structures were used to transfer the traceable calibration from the reference CD-AFM to one-dimensional AFMs (1DAFM)
used for manufacturing process control. A traceable step height calibration, with an expanded uncertainty of
2.24 nm (k = 3) is demonstrated for the reference CD-AFM. From this result, a traceable calibration of the
manufacturing AFMs with a combined expanded uncertainty of 2.8 nm (k = 3) for a nominal 164 nm step height is
developed.
There has been a substantial increase in the research and development of optical metrology techniques as applied to
linewidth and overlay metrology for semiconductor manufacturing. Much of this activity has been in advancing
scatterometry applications for metrology. In recent years we have been developing a related technique known as
scatterfield optical microscopy, which combines elements of scatterometry and bright field imaging. In this paper we
present the application of this technique to optical system alignment, calibration, and characterization for the purpose of
accurate normalization of optical data, which can be compared with optical simulations involving only absolute
measurement parameters. We show a series of experimental data from lines prepared using a focus exposure matrix on
silicon and make comparisons between the experimental and theoretical results. The data show agreement on the
nanometer scale using parametric simulation libraries and no "tunable" parameters.
KEYWORDS: Calibration, Transmission electron microscopy, Metrology, Atomic force microscope, Process control, Atomic force microscopy, Head, Electron microscopes, Binary data, Feature extraction
Over the last few years, the need for shape metrology for process control has increased. A key component of shape
metrology is sidewall angle (SWA). However, few instruments measure SWA directly. The critical dimension atomic
force microscope (CD-AFM) is one such instrument. The lateral scanning capability and the shape of the CD-AFM
probe enable direct access to the feature sidewall. This produces profile information that could be used as a process
monitor. Due to their relative insensitivity to material properties, CD-AFMs have been used as reference measurement
systems (RMS) for measurands such as width. We present a technique for calculating the uncertainty of sidewall angle
measurements using a CD-AFM. We outline an overall calibration strategy; address the uncertainty sources for such
measurements, including instrument-related and parameter extraction; related; and discuss the way the calibration is
transferred to workhorse instruments.
We demonstrate optical critical dimension measurement of lines in silicon grating targets using back focal plane scatterfield icroscopy. In this technique, angle-resolved diffraction signatures are obtained from grating targets by imaging the back focal plane of a brightfield microscope that has been modified to allow selection of the angular distribution and polarization of the incident illumination. The target line profiles, including critical dimension linewidth and sidewall angle, are extracted using a scatterometry method that compares the diffraction signatures to a library of theoretical signatures. Because we use the zero-order component of the diffraction, the target features need not be resolved in order to obtain the line profile. We extracted line profiles from two series of targets with fixed pitch but varying linewidth: a subresolution 300-nm-pitch series, and a resolved 600-nm-pitch series. Linewidths of 131 nm to 139 nm were obtained, with nanometer-level sensitivity to linewidth, and a linear relationship of linewidth obtained from scatterfield microscopy to linewidth measured by scanning electron microscopy was demonstrated. Conventional images can be easily collected on the same microscope, providing a powerful tool for combining imaging metrology with scatterometry for optical critical dimension measurement.
The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope
(AFM) dimensional metrology. Three major instruments are being used for traceable measurements. The first is a
custom in-house metrology AFM, called the calibrated AFM (C-AFM), the second is the first generation of
commercially available critical dimension AFM (CD-AFM), and the third is a current generation CD-AFM at
SEMATECH - for which NIST has established the calibration and uncertainties. All of these instruments have useful
applications in photomask metrology.
Linewidth reference metrology is an important application of CD-AFM. We have performed a preliminary comparison
of linewidths measured by CD-AFM and by electrical resistance metrology on a binary mask. For the ten selected test
structures with on-mask linewidths between 350 nm and 600 nm, most of the observed differences were less than 5 nm,
and all of them were less than 10 nm. The offsets were often within the estimated uncertainties of the AFM
measurements, without accounting for the effect of linewidth roughness or the uncertainties of electrical measurements.
The most recent release of the NIST photomask standard - which is Standard Reference Material (SRM) 2059 - was also
supported by CD-AFM reference measurements. We review the recent advances in AFM linewidth metrology that will
reduce the uncertainty of AFM measurements on this and future generations of the NIST photomask standard.
The NIST C-AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the iodine-stabilized
He-Ne laser. One of the important applications of the C-AFM is step height metrology, which has some
relevance to phase shift calibration. In the current generation of the system, the approximate level of relative standard
uncertainty for step height measurements at the 100 nm scale is 0.1 %. We discuss the monitor history of a 290 nm step
height, originally measured on the C-AFM with a 1.9 nm (k = 2) expanded uncertainty, and describe advances that bring
the step height uncertainty of recent measurements to an estimated 0.6 nm (k = 2). Based on this work, we expect to be
able to reduce the topographic component of phase uncertainty in alternating aperture phase shift masks (AAPSM) by a
factor of three compared to current calibrations based on earlier generation step height references.
KEYWORDS: Transmission electron microscopy, Calibration, Standards development, Crystals, Electron microscopes, Scanning electron microscopy, Metrology, Silicon, Atomic force microscope, Image resolution
One of the key challenges in critical dimension (CD) metrology is finding suitable calibration standards. Over the last
few years there has been some interest in using features measured with the transmission electron microscope (TEM) as
primary standards for linewidth measurements. This is because some modes of TEM can produce lattice-resolved
images having scale traceability to the SI (Systeme International d'Unites or International System of Units) definition of
length through an atomic lattice constant. As interest in using calibration samples that are closer to the length scales
being measured increases, so will the use of these TEM techniques.
An area where lattice-traceable images produced by TEM has been used as a primary standard is in critical dimension
atomic force microscope (CD-AFM) tip width calibration. Two modes of TEM that produce crystal lattice-traceable
images are high resolution transmission electron microscope (HR-TEM) and high angle annular dark field scanning
transmission electron microscope (HAADF-STEM). HR-TEM produces lattice-traceable images by interference
patterns of the diffracted and transmitted beams rather than the actual atomic columns, while HAADF-STEM produces
direct images of the crystal lattice. The difference in how both of these techniques work could cause subtle variations in
the way feature edges are defined.
In this paper, we present results from width samples measured using HR-TEM and HAADF-STEM. Next we compare
the results with measurements taken from the same location by two different CD-AFMs.
Both of the CD-AFM instruments used for this work have been calibrated using a single crystal critical dimension
reference material (SCCDRM). These standards, developed by the National Institute of Standards and Technology
(NIST) and SEMATECH, used HR-TEM for traceable tip-width calibration. Consequently, the present work and the
previous SCCDRM work provide a mutual cross-check on the traceability of the width calibration. Excellent agreement
was observed.
Critical dimension atomic force microscopes (CD-AFMs) are rapidly gaining acceptance in semiconductor
manufacturing metrology. These instruments offer non-destructive three dimensional imaging of structures and can
provide a valuable complement to critical dimension scanning electron microscope (CD-SEM) and optical metrology.
Accurate CD-AFM metrology, however, is critically dependent upon calibration of the tip width. In response to this
need, NIST has developed prototype single crystal critical dimension reference materials (SCCDRMs).
In 2004, a new generation of SCCDRMs was released to the Member Companies of SEMATECH - a result of the
fruitful partnership between several organizations. These specimens, which are fabricated using a lattice-plane-selective
etch on (110) silicon, exhibit near vertical sidewalls and high uniformity and can be used to calibrate CD-AFM tip
width to a standard uncertainty of about ± 1 nm.
Following the 2004 release, NIST began work on the "next generation" of SCCDRM standards. A major goal of this
thrust was to improve upon the SCCDRM characteristics that impact user-friendliness: the linewidth uniformity and
cleanliness. Toward this end, an experiment was designed to further optimize the process conditions. The first round of
this experiment was recently completed, and the results show great promise for further improvement of the SCCDRM
manufacturing process.
Among other observations, we found that the minimum linewidth and linewidth uniformity were primarily sensitive to
different factors - and can thus be independently tuned to meet our future goals - which include linewidths as small as
20 nm and a standard uncertainty due to non-uniformity at the ± 0.5 nm level. Our future work will include a new
refining experiment to further optimize the important factors that we have identified, and extension of the methodology
to a monolithic 200 mm implementation.
KEYWORDS: Silicon, Carbon nanotubes, Metrology, Atomic force microscopy, Standards development, Scanning electron microscopy, Line edge roughness, Ion beams, Atomic force microscope, Platinum
The ever decreasing size of semiconductor features demands the advancement of critical dimension atomic force microscope (CD-AFM) technology, for which the fabrication and use of more ideal probes like carbon nanotubes (CNT) is of considerable interest. The recent progress in the precise control of CNT orientation, length, and end modification, using manipulation and focused ion beam processes, allowed us to implement ball-capped CNT tips and bent CNT tips for CD-AFM. Such CNT tips have been tested for the first time in a commercial CD-AFM to image a grating and line edge roughness samples. We found out that CNT tips can reasonably scan the pattern profiles including re-entrant sidewalls with the CNT tip geometries we used and with the available range of scan parameters. There still remain important issues to address - including tighter control of tip geometry and optimization of scan parameters and algorithms for using CNT tips.
Since the advent of critical-dimension atomic force microscopes (CD-AFMs) in the 90s, these tools have enjoyed
growing acceptance in semiconductor manufacturing both for process development and to support in-line critical
dimension (CD) metrology. The most common application of CD-AFMs has been to support critical-dimension
scanning electron microscope (CD-SEM) and scatterometer metrology as a reference for tool matching or as a nondestructive
alternative to transmission electron microscopy (TEM) and scanning electron microscopy (SEM) cross
sections.
For many years, CD-AFM users typically developed in-house reference standards for tip width calibration - often based
on SEM or TEM cross sections. But the uncertainty of such standards was often large or unknown. Tip characterizer
samples - which used a sharp ridge to calibrate the tip width - are commercially available. However, scanning such
samples can result in tip damage, and the uncertainty of tip calibrations based on this method is at least 5 nm.
In 2004, NIST, SEMATECH, and VLSI Standards collaborated on the development and release of single crystal critical
dimension reference materials (SCCDRMs) to SEMATECH member companies. These specimens, which are
fabricated using a lattice-plane-selective etch on (110) silicon, exhibit near vertical sidewalls and high uniformity and
can be used to calibrate CD-AFM tip width to approximately 1 nm standard uncertainty (k = 1). Also in 2004,
commercial critical dimension standards (CCDS) were introduced.
Using CD-AFM instruments at both NIST and SEMATECH, we have performed a comparison of nominal 45 nm and 70 nm CCDS specimens with the SCCDRM calibration. Our observations show that these two independently performed calibrations are in agreement.
Many semiconductor metrologists are aware that line edge roughness (LER), and thus linewidth variation (LWV), can
be a significant contributor to measurement uncertainty. More generally, the impact of measurand variation and proper
sampling is becoming a major player in nearly every area of semiconductor metrology. This paper describes a simple
technique of using the LWV of a feature as a fingerprint to uniquely characterize the measurement target in such a way
to make the LER contribution negligible in a linewidth calibration exercise. A single crystal critical dimension
reference material (SCCDRM) was the calibration artifact used to calibrate the tip width of a critical dimension atomic
force microscope (CD-AFM). These samples were released by the National Institute of Standards and Technology
(NIST) to SEMATECH member companies in 2004. The specific SCCDRM used for this work had six calibrated
linewidths ranging from 100 nm to 270 nm. Our paper shows in detail the overlay of the CD-AFM linewidth data with
that of the data used to calibrate the SCCDRM for each linewidth. With the aid of this linewidth fingerprinting, Mandel
regression is used to assess the quality of correlation of the CD-AFM to that of the NIST-derived calibration data. An
uncertainty budget is presented as a conclusion of the tip width calibration exercise. A combined expanded uncertainty
of less than 2 nm with a k = 3 coverage factor is achieved.
KEYWORDS: 3D image reconstruction, Atomic force microscopy, 3D image processing, 3D modeling, 3D metrology, Mathematical morphology, Critical dimension metrology, Reconstruction algorithms, Algorithm development, Device simulation
CD-AFMs (critical dimension atomic force microscopes) are instruments with servo-control of the tip in
more than one direction. With appropriately "boot-shaped" or flared tips, such instruments can image
vertical or even undercut features. As with any AFM, the image is a dilation of the sample shape with the
tip shape. Accurate extraction of the CD requires a correction for the tip effect. Analytical methods to
correct images for the tip shape have been available for some time for the traditional (vertical feedback
only) AFMs, but were until recently unavailable for instruments with multi-dimensional feedback. Dahlen
et al. [J. Vac. Sci. Technol. B23, pp. 2297-2303, (2005)] recently introduced a swept-volume approach,
implemented for 2-dimensional (2D) feedback. It permits image simulation and sample reconstruction,
techniques previously developed for the traditional instruments, to be extended for the newer tools. We
have introduced [X. Qian and J. S. Villarrubia, Ultramicroscopy, in press] an alternative dexel-based
method, that does the same in either 2D or 3D. This paper describes the application of this method to
sample shapes of interest in semiconductor manufacturing. When the tip shape is known (e.g., by prior
measurement using a tip characterizer) a 3D sample surface may be reconstructed from its 3D image.
Basing the CD measurement upon such a reconstruction is shown here to remove some measurement
artifacts that are not removed (or are incompletely removed) by the existing measurement procedures.
The National Institute of Standards and Technology (NIST) and SEMATECH are working to address traceability issues in semiconductor dimensional metrology. In semiconductor manufacturing, many of the measurements made in the fab are not traceable to the SI unit of length. This is because a greater emphasis is often placed on precision and tool matching than on accuracy. Furthermore, the fast pace of development in the industry makes it difficult to introduce suitable traceable standard artifacts in a timely manner. To address this issue, NIST and SEMATECH implemented a critical-dimension atomic-force-microscope-based reference measurement system (RMS). The system is calibrated for height, pitch, and width, and has traceability to the SI definition of length in all three axes. Because the RMS is expected to function at a higher level of performance than inline tools, the level of characterization and handling of uncertain sources is on a level usually seen in instruments at national measurement institutes. In this work, we discuss recent progress in reducing the uncertainty of the instrument as well as details of a newly implemented performance monitoring system. We also present an example of how the RMS concept can be used in a semiconductor manufacturing environment.
The National Institute of Standards and Technology (NIST) has a multifaceted program in atomic force microscope
(AFM) dimensional metrology. There are two major instruments being used for traceable AFM measurements at NIST.
The first is a custom in-house metrology AFM, called the calibrated AFM (C-AFM), and the second instrument is a
commercial critical dimension AFM (CD-AFM). The C-AFM has displacement metrology for all three axes traceable
to the 633 nm wavelength of the Iodine-stabilized He-Ne laser. In the current generation of this system, the relative
standard uncertainty of pitch and step height measurements is approximately 1.0 x 10-3 for pitches at the micrometer
scale and step heights at the 100 nm scale, as supported by several international comparisons. We expect to surpass this
performance level soon. Since the CD-AFM has the capability of measuring vertical sidewalls, it complements the
C-AFM. Although it does not have intrinsic traceability, it can be calibrated using standards measured on other
instruments - such as the C-AFM, and we have developed uncertainty budgets for pitch, height, and linewidth
measurements using this instrument. We use the CD-AFM primarily for linewidth measurements of near-vertical
structures. At present, the relative standard uncertainties are approximately 0.2% for pitch measurements and 0.4% for
step height measurements. As a result of the NIST single crystal critical dimension reference material (SCCDRM)
project, it is possible to calibrate CD-AFM tip width with a 1 nm standard uncertainty. We are now using the CD-AFM
to support the next generation of the SCCDRM project. In prototypes, we have observed features with widths as low as
20 nm and having uniformity at the 1 nm level.
An overview of the challenges encountered in imaging device-sized features using optical techniques recently developed in
our laboratories is presented in this paper. We have developed a set of techniques we refer to as scatterfield microscopy
which allows us to engineer the illumination in combination with appropriately designed metrology targets. The techniques
have previously been applied to samples with sub-50 nm sized features having pitches larger than the conventional Rayleigh
resolution criterion which results in images having edge contrast and elements of conventional imaging. In this paper we
extend these methods to targets composed of features much denser than the conventional Rayleigh resolution criterion. For
these applications, a new approach is presented which uses a combination of zero order optical response and edge-based
imaging. The approach is, however, more general and a series of analyses based on theoretical methods is presented. This
analysis gives a direct measure of the ultimate size and density of features which can be measured with these techniques and
addresses what measurement resolution can be obtained. We present several experimental results, optical simulations using
different electromagnetic scattering packages, and statistical analyses to evaluate the ultimate sensitivity and extensibility of
these techniques.
In this paper we present a unique method of evaluating the angular illumination homogeneity in an optical microscope
using the through-focus focus metric. A plot of the sum of the mean square slope throughout an optical image as the
target moves through the focus is defined as the through-focus focus metric. Using optical simulations we show that the
angular illumination inhomogeneity causes the through-focus focus metric value to proportionately increase at specific
focus positions. Based on this observation, we present an experimental method to measure angular illumination
homogeneity by evaluating the through-focus focus metric values on a grid across the field of view. Using the same
through-focus focus metric, we present a detailed study to measure critical dimensions with nanometer sensitivity with
the aid of simulations.
The National Institute of Standards and Technology (NIST) and SEMATECH are working to address traceability issues in semiconductor dimensional metrology. In semiconductor manufacturing, many of the measurements made in the fab are not traceable to the SI definition of the meter. This is because a greater emphasis is often placed on precision and tool matching than accuracy. Furthermore, the fast pace of development in the industry makes it difficult to introduce suitable traceable standard artifacts in a timely manner. To address this issue, NIST and SEMATECH implemented a critical dimension atomic force microscope (CD-AFM)-based reference measurement system (RMS). The system is calibrated for height, pitch, and width and has traceability to the SI definition of length in all three axes. Because the RMS is expected to function at a higher level of performance than inline tools, the level of characterization and handling of uncertainty sources is on a level usually seen for instruments at national measurement institutes. We have implemented a performance monitoring system to help us check the long-term stability of the calibrations. In this paper, we discuss progress in improving the uncertainty of the instrument and the details of our performance monitoring. We also present a method for accounting for some of the uncertainty due to the higher order tip effects.
The National Institute of Standards and Technology (NIST) and SEMATECH have been working together to improve the traceability of critical dimension atomic force microscope (CD-AFM) dimensional metrology in semiconductor manufacturing. A major component of this collaboration has been the implementation of a Reference Measurement System (RMS) at SEMATECH using a current generation CD-AFM. An earlier tool, originally used at SEMATECH, has now been installed at NIST. Uncertainty budgets were developed for pitch, height, and CD measurements using both tools. At present, the standard uncertainties are approximately 0.2 % for pitch measurements and 0.4% for step height measurements. Prior to the current work, CD AFM linewidth measurements were limited to a standard uncertainty of about 5 nm. However, this limit can now be significantly reduced. This reduction results from the completion of the NIST/SEMATECH collaboration on the development of single crystal critical dimension reference materials (SCDDRM). A new generation of these reference materials was released to SEMATECH Member Companies during late 2004. The SEMATECH RMS was used to measure the linewidths of selected features on the distributed specimens. To reduce the uncertainty in tip width calibration, a separate transfer experiment was performed in which samples were measured by CD-AFM and then sent for high resolution transmission electron microscopy (HRTEM). In this manner, CD-AFM could be used to transfer the HRTEM width information to the distributed samples. Consequently, we are now able to reduce the limit on the standard uncertainty (k = 1) of CD-AFM width measurements to 1 nm.
The implementation of a new test structure for HRTEM (High-Resolution Transmission Electron Microscopy) imaging, and the use of CD AFM (CD Atomic Force Microscopy) to serve as the transfer metrology, have resulted in reductions in the uncertainties attributed to critical dimension (CD) reference-material features, having calibrated CDs less than 100 nm. The previous generation of reference materials, which was field-tested in 2001, used electrical CD as the transfer metrology. Calibrated CD values were in the range 80 nm to 150 nm and expanded uncertainties were approximately ± 14 nm. The second-generation units, which have now been distributed to selected industry users for evaluation, have uncertainties as low as ±1.5 nm and calibrated CDs as low as 43 nm.
International SEMATECH (ISMT) and the National Institute of Standards and Technology (NIST) are working together to improve the traceability of AFM dimensional metrology in semiconductor manufacturing. Due to the unique metrology requirements and the rapid change in the semiconductor industry, relevant standards are often not available. Consequently, there is often no traceable linkage between the realization of the SI (Systeme International d’Unites, or International System of Units) unit of length -- the meter -- and measurements in the fab line. To improve this situation, we have implemented a Reference Measurement System (RMS) using a next-generation critical-dimension atomic force microscope (CD-AFM). We performed measurements needed to establish a traceability chain and developed uncertainty budgets for pitch, height, and critical dimension (CD) measurements. At present, the standard uncertainties are estimated to be approximately 0.2% for pitch measurements, 0.4% for step height measurements, and 5 nm for CD measurements in the sub-micrometer range. Further improvement in these uncertainties is expected with the use of newer samples for scale and tip calibration. We will describe our methodology for RMS implementation and the major applications for which it has been used. These include measurements on new NIST/ISMT linewidth standards, a reference tool for CD-scanning electron microscopes (SEMs), metrology on photo-masks, CD-SEM benchmarking, and 193 nm resist shrinkage measurements. As part of the NIST/ISMT linewidth standards project, we are performing an extensive comparison experiment of AFM and TEM (transmission electron microscopy) measurements of linewidth.
The measurement of line-edge roughness (LER) has recently become a topic of concern in the litho-metrology community and the semiconductor industry as a whole. The Advanced Metrology Advisory Group (AMAG), a council composed of the chief metrologists from the International SEMATECH (ISMT) consortium’s Member Companies and from the National Institute of Standards and Technology (NIST), has a project to investigate LER metrics and to direct the critical dimension scanning electron microscope (CD-SEM) supplier community towards a semiconductor industry-backed, standardized solution for implementation. The 2003 International Technology Roadmap for Semiconductors (ITRS) has included a new definition for roughness. The ITRS envisions root mean square measurements of edge and width roughness. There are other possible metrics, some of which are surveyed here. The ITRS envisions the root mean square measurements restricted to roughness wavelengths falling within a specified process-relevant range and with measurement repeatability better than a specified tolerance. This study addresses the measurement choices required to meet those specifications. An expression for the length of line that must be measured and the spacing of measurement positions along that length is derived. Noise in the image is shown to produce roughness measurement errors that have both random and nonrandom (i.e., bias) components. Measurements are reported on both UV resist and polycrystalline silicon in special test patterns with roughness typical for those materials. These measurements indicate that the sensitivity of a roughness measurement to noise depends importantly both on the choice of edge detection algorithm and the quality of the focus. Measurements are less sensitive to noise when a model-based or sigmoidal fit algorithm is used and when the images are in good focus. Using the measured roughness characteristics for UV resist lines and applying the ITRS requirements for the 90 nm technology node, the derived expression for sampling length and sampling interval implies that a length at least 8 times the node (i.e., 720 nm) must be measured at intervals of 7.5 nm or less.
KEYWORDS: Critical dimension metrology, Scanning electron microscopy, Atomic force microscopy, Metrology, Electron beams, Data modeling, Contamination, Semiconducting wafers, Systems modeling, Process control
Resist slimming under electron beam exposure introduces significant measurement uncertainty in the metrology of 193 nm resists. Total critical dimension (CD) uncertainty of up to 10 nm can arise from line slimming through a combination of the line slimming during the initial measurement pass and the variation of line slimming across the wafer. For a 100 nm process, the entire CD error budget, can be consumed by line slimming. This research examines the uncertainty that results from the use of offset techniques to account for resist slimming in the process control of 193 nm resist CDs. The uncertainty associated with such offset techniques can be as great as 10 nm, depending upon the 193 nm resist and landing energy evaluated. Data are presented to demonstrate that 193 nm resist CD features experience line slimming greater than 5 nm at 500 eV landing energy during the initial measurement pass. Further, subsequent measurements demonstrate greatly reduced slimming and as a result are not indicative of the true magnitude of line slimming. Experiments conducted using CD-AFM pre- and post-analysis, demonstrate that ultra low landing energies significantly decrease the line slimming, reducing it to 1 nm or less.
International SEMATECH (ISMT) and the National Institute of Standards and Technology (NIST) are working together to improve the traceability of atomic force microscope (AFM) dimensional metrology in semiconductor manufacturing. The rapid pace of technological change in the semiconductor industry makes the timely introduction of relevant standards challenging. As a result, the link between the realization of the SI (Systeme International d’Unites, or International System of Units) unit of length - the meter - and measurements on the fab line is not always maintained. To improve this situation, we are using an at-line critical dimension-AFM (CD-AFM) at ISMT as a developmental platform. This tool has been implemented as a Reference Measurement System (RMS) in the facilities at ISMT. However, it is currently being replaced by a next-generation CD-AFM tool. Using the current tool, we have performed measurements needed to establish the traceability chain and developed uncertainty budgets. Specifically, we have developed uncertainty budgets for pitch, height, and critical dimension (CD) measurements. Some evaluations were performed using samples for which a full traceability chain is not available. We expect to improve the uncertainties further for such samples. At present, the standard uncertainties are estimated to be approximately 0.2 % for pitch measurements, 0.4 % for step height measurements, and 5 nm for CD measurements in the sub-micrometer range. Similar budgets will be developed for the new tool once it is installed. We will describe our methodology for RMS implementation and the major applications for which it has been used. These include measurements on new NIST/ISMT linewidth standards, a reference tool for CD-scanning electron microscopes (SEMs), metrology on photo-masks, CD-SEM benchmarking, and 193 nm resist shrinkage measurements.
The in-line and at-line measurement tools for critical dimension (CD) metrology in semiconductor manufacturing are technologically advanced instruments that exhibit excellent measurement repeatability - below one nanometer in some cases. Accuracy, however, is largely dependent upon the availability of traceable standards. Because the standards requirements of this fast-paced industry are particularly demanding and application-specific, metrology traceability is sometimes lacking. International SEMATECH (ISMT) and the National Institute of Standards and Technology (NIST) are working together to improve this situation. We are developing a reference measurement system (RMS) at ISMT using a critical-dimension atomic force microscope (CD-AFM). We are performing measurements needed to establish the traceability chain and develop uncertainty budgets for this tool. Monitoring of tool performance has been improved and we have performed preliminary checks of lateral and vertical scale calibration. Preliminary uncertainty budgets for pitch and height measurements have been developed. At present, the standard uncertainty due to scale calibration and non-linearity is estimated to be approximately 0.2 percent for pitch measurements and 0.5 percent for step height measurements. Our initial checks of scale calibration were performed using samples for which a full traceability chain is not available. We expect to reduce these uncertainties once we are able to use samples with a complete traceability chain. Ultimately, our major objective in developing an RMS is to provide a traceable metrology reference for other major projects at ISMT - including CD-SEM benchmarking, AMAG wafer development, and overlay tool benchmarking.
Atomic force microscopes (AFMs) are used in the semiconductor industry for a variety of metrology purposes. Step height measurements at the nanometer level and roughness measurements at sub-nanometer levels are often of interest. To perform accurate measurements, the scales of an AFM must be calibrated. We have been exploring the use of silicon single atomic steps as height standards for AFMs in the sub-nanometer regime. We have also designed and developed the calibrated AFM (C-AFM) to calibrate standards for other AFMs. Previously, we measured the step height of silicon single atomic steps on Si (111) (with native oxide) using the C-AFM. The value we obtained was 304 +/- 8 pm (k=2). From three independent measurement techniques, including our C-AFM result, we estimate an accepted value for the silicon step height of 312 pm +/- 12 pm (k=2), which corresponds to an expanded uncertainty of about 4 %. We have also completed a NIST led comparison of AFM measurements of silicon step samples to further evaluate their suitability as standards in industrial applications. If the reproducibility of the participants' measurements is sufficient, the accepted value could be used to calibrate the scale of the measuring tools in this sub-nanometer regime. The participants sent the data to NIST for analysis. This was done so that all of the data would be analyzed in a uniform manner. The results of our analysis indicate that these samples can be used effectively as standards. The average standard deviation of all of the participants results was 6 pm. Hence, it should be possible to use these specimens as sub-nanometer z-axis calibration standards with an expanded uncertainty of about 6 %.
Atomic force microscopes (AFMs) generate three dimensional images with nanometer level resolution and, consequently, are used in the semiconductor industry as tools for sub-micrometer dimensional metrology. Measurements commonly performed with AFMs are feature spacing (pitch), feature height (or depth), feature width (critical dimension), and surface roughness. To perform accurate measurements, the scales of an AFM must be calibrated. We have designed and developed the calibrated AFM (C-AFM) to calibrate physical standards for other AFMs. The C- AFM has displacement metrology for all three axes traceable to the 633 nm wavelength of the Iodine-stabilized He-Ne laser. This is accomplished through the integration of a flexure x-y translation stage, heterodyne laser interferometers, and a z- axis piezoelectric actuator with an integrated capacitance sensor. This capacitance sensor is calibrated with a third interferometer. We have performed both pitch and height measurements for external customers. Recently, we performed pitch measurements on holographic gratings as part of an ongoing international comparison driven by BIPM (Bureau International des Poids et Measures). We have also completed a preliminary design of a prototype pitch/height standard and are evaluating preliminary test samples. Additionally, we are working toward the development of linewidth standards through the comparison of C-AFM width measurements with values obtained from other methods. Our step height and linewidth measurements are in good agreement with those obtained by other methods, and we are working to improve the lateral resolution and hence the uncertainty of our probe-based linewidth measurements by studying the use of nanotubes and other types of sharp tips as linewidth probes.
The measurement of bump heights and pit depth on compact discs (CD) with atomic force microscopes (AFMs) is quite different from the measurement of step heights on step height calibration standards. Both the bumps and the pits show much larger transition regimes and more structural irregularities. The irregularities disqualify the effective use of profile based algorithms, which minimize the influence of any remaining motion deviations of the scan apparatus, to determine the height. Therefore a histogram height algorithm has to be used. The results of the bump height and pit depth measurements varied about 20 nm over the different sample regions. The remaining approximately 30 nm difference between the average of the bump height and pit depth is believed to result from the sample preparation procedure. By itself, the large sample variation observed will result in rather large measurement uncertainties for the measurement of the average height and depth of these features, if the averaging does not include a large amount of data taken at many different sample positions.
Uncertainty in the locations of line edges dominates the uncertainty budget for high quality sub-micrometer linewidth measurements. For microscopic techniques like scanning electron microscopy (SEM) and atomic force microscopy (AFM), the image of the sharp edge is broadened due to the instrument's non-ideal response. Localizing the true edge position within its broadened image requires a model for the instrument-sample interaction. Ideal left and right edges are mirror images of one another, so any modeling error in the position assignment will have opposite signs for the two types of edges. Linewidth measurements inherently involves such opposite edges and consequent doubling of model errors. Similar considerations apply to electrical critical dimension (ECD) measurement. Although ECD is a non-imaging technique, one must still model the offset between the position of the physical edge and the effective edge of the conducting part of the line. One approach to estimating the reliability of existing models is to compare result when fundamentally different instruments measure the same line. We have begun a project to perform such an intercomparison, and we report here initial results for SEM, AFM, and ECD measurements of sub-micrometer lines in single crystal Si. Edge positions are determined from SEM images using Monte Carlo tracing of electron trajectories to predict the edge shape.In the AFM, we estimate and correct for tip geometry using tools from mathematical morphology. ECD measurements are corrected for band bending in the neighborhood of the edges.
AFMs are increasingly used in the semiconductor industry as tools for sub-micrometer dimensional metrology. The scale of an AFM must be calibrated in order to perform accurate measurements. We have designed and developed the calibrated AFM (C-AFM) at the NIST to calibrate standards. Specifically, our primary calibrations are expected to be of combined pitch/height, or 3D magnification standards for AFM. THe C-AFM has metrology traceable to the International System of Units meter for all three axes. This is accomplished through the integration of a flexure x-y translation stage, heterodyne laser interferometers, and a z-axis piezoelectric actuator with an integrated capacitance sensor. Our first pitch measurements for an outside customer were recently compete, in which we were able to report relative expanded uncertainties as low as 1 percent on sub- micrometer pitches. The uncertainty budget for these measurements includes the effect of sample non-uniformity, which is the dominant contribution for some of the reported uncertainties. Four samples were measured - two with grid patterns and two with grid recently made considerable improvements in our uncertainty budget for step height measurements. For example, we recently achieved 0.2 nm expanded uncertainty on a 20 nm step, and achieved 0.008 nm expanded uncertainty in the measurement of the approximately 0.3 nm single atom step on Si. We also participated in the recently competed first round of the NIST linewidth correlation project, in which linewidht measurements obtained from different methods are compared. In this paper, we will report on the current status of the C-AFM, and on our plans for further development.
Because atomic force microscopes (AFMs) are capable of generating three dimensional images with nanometer level resolution, these instruments are being increasingly used in many industries as tools for dimensional metrology at sub- micrometer length scales. To achieve high accuracy, the scales of an AFM must be calibrated. Presently available standards for this purpose are commonly calibrated using stylus instruments and optical techniques. We have developed the calibrated AFM (C-AFM) in order to calibrate pitch and height standards using an AFM. Our instrument has metrology traceable to the wavelength of light for all three axes. This is accomplished through the integration of a flexure x-y translation stage, heterodyne laser interferometers, and a digital-signal-processor based closed-loop feedback system to control the x-y scan motion. The z-axis translation is accomplished using a piezoelectric actuator with an integrated capacitance sensor, which is calibrated using a heterodyne laser interferometer. When fully developed, this instrument will be a calibration tool for pitch and height standards for scanning probe microscopes. We have recently completed a reevaluation of the titling motions of the C-AFM scanner. This has allowed a refinement in our estimate of the Abbe error contribution to our measurement uncertainty. Our most recent pitch measurements are consistent with this new estimate and thus support our refined uncertainty budget. We have recently completed measurements of pitch on several samples, including both grid type and linear scale patterns, for an industrial user. We are also working toward the development of linewidth standards through the comparison of C-AFM width measurements with values obtained from other methods, including an electrical resistance techniques. In this paper, we will describe the current status of the C-AFM, discuss the use of the instrument for measurements of pitch and width, and describe our plans for future measurements.
Atomic force microscopes (AFMs) are potentially capable of dimensional metrology with nanometer scale accuracy. Feature width measurements, however, can be severely affected by the size of an AFM probe. Indeed, for features with sufficiently steep sidewalls, a conical AFM probe may only be able to measure the width near the top of a line, with much of the apparent width at the bottom being due to the size of the probe. Although the probe contribution to the apparent feature width is generally much smaller at the top, it is often not negligible. Thus, the accuracy and value of such 'top width' measurements could potentially be improved by using another sample to independently characterize the geometry of the tip and correcting the measured top width for the finite size of the probe. We performed a test of this measurement scheme by using the NIST calibrated atomic force microscope (C-AFM), an AFM with metrology traceable to the wavelength of light, to perform top width measurements on a sample of preferentially etched Si lines. Samples of mica with nanometer sized colloidal particles deposited on them were used to characterize the C-AFM tips, and thus correct apparent top width measurements for the probe size. Except when probe damage during a measurement was severe, comparisons of the C-AFM results with cross sectional TEM yielded good agreement with uncertainties at the level of 30 nm (2 sigma).
KEYWORDS: Transmission electron microscopy, Atomic force microscopy, Metrology, Scanning electron microscopy, Calibration, Photomicroscopy, Critical dimension metrology, Silicon, Scanners, Standards development
Despite the widespread acceptance of SEM metrology in semiconductor manufacturing, there is no SEM CD standard currently available. Producing such a standard is challenging because SEM CD measurements are not only a function of the linewidth, but also dependent on the line material, sidewall roughness, sidewall angle, line height, substrate material, and the proximity of other objects. As the presence of AFM metrology in semiconductor manufacturing increases, the history of SEM CD metrology raises a number of questions about the prospect of AFM CD artifacts. Is an AFM CD artifact possible? What role would it play in the manufacturing environment? Although AFM has some important advantages over SEM, such as relative insensitivity to material differences, the throughput and reliability of most AFM instruments is not yet at the level necessary to support in-line CD metrology requirements. What, then, is the most useful relationship between AFM and SEM metrology? As a means of addressing some of these questions, we have measured the CD and sidewall angle of 1.2 micrometer oxy-nitride line on Si using three different techniques: optical microscopy (with modeling), AFM, and cross sectional TEM. Systematic errors in the AFM angle measurements were reduced by using a rotational averaging technique that we describe. We found good agreement with uncertainties below 30 nm (2 sigma) for the CD measurement and 1.0 degrees (2 sigma) for the sidewall angles. Based upon these results we suggest a measurement procedure which will yield useful AFM CD artifacts. We consider the possibility that AFMs, especially when used with suitable CD artifacts, can effectively support SEM CD metrology. This synergistic relationship between the AFM and SEM represents an emerging paradigm that has also been suggested by a number of others.
KEYWORDS: Calibration, Metrology, Atomic force microscopy, Surface roughness, Interferometers, Atomic force microscope, Standards development, Polarization, Capacitance, Digital signal processing
NIST personnel (J.S. and T.M.) visited 23 IC manufacturing companies and equipment suppliers during 1994 to determine semiconductor industry needs for scanned probe metrology. NIST has initiated projects addressing some of the need identified. When complete these projects will enable improved metrology using the scanned probe microscope. Industry needs include pitch, height, angle, and width calibration artifacts, and understanding of the effect of humidity on AFM measurements, and tip metrology techniques. To meet these needs we have designed and built a Calibrated Atomic Force Microscope (C-AFM) with interferometric position control. This AFM is capable of making accurate measurements. We present the operational characteristics of the instrument, accurate X, Y, and Z pitch measurements on a commercially available artifact, measurements on a prototype surface roughness artifact, and a promising technique by which to make accurate linewidth measurements.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.