We introduce a preclinical imaging platform – a 3D photoacoustic/fluorescence tomography (PAFT) instrument augmented with an environmentally responsive dual-contrast biocompatible nanoprobe. The PAFT instrument was designed for simultaneous acquisition of photoacoustic and fluorescence orthogonal projections at each rotational position of a biological object, enabling direct co-registration of the two imaging modalities. The nanoprobe was based on liposomes loaded with J-aggregates of indocyanine green (PAtrace). Once PAtrace interacts with the environment, a transition from J-aggregate to monomeric ICG is induced. The subsequent recovery of monomeric ICG is characterized by dramatic changes in the optical absorption spectrum and reinstated fluorescence. In the activated state, PAtrace can be simultaneously detected by both imaging modes of the PAFT instrument using 780 nm excitation and fluorescence detection at 810 nm. The fluorescence imaging component is used to boost detection sensitivity by providing lowresolution map of activated nanoprobes, which are then more precisely mapped in 3D by the photoacoustic imaging component. Activated vs non-activated particles can be distinguished based on their different optical absorption peaks, removing the requirements for complex image registration between reference and detection scans. Preliminary phantom and in vivo animal imaging results showed successful activation and visualization of PAtrace with high sensitivity and resolution. The proposed PAFT-PAtrace imaging platform could be used in various functional and molecular imaging applications including multi-point in vivo assessment of early metastasis.
Reverse-tone step and flash imprint lithography (S-FIL/R) requires materials that can be spin-coated onto patterned substrates with significant topography and that are highly planarizing. Ideally, these planarizing materials must contain silicon for etch selectivity, be UV or thermally curable, and have low viscosity and low volatility. One such unique material, in particular, a branched and functionalized siloxane (Si-12), is able to adequately satisfy the above requirements. This paper describes a study of the properties of epoxy functionalized Si-12 (epoxy-Si-12) as a planarizing layer. An efficient synthetic route to epoxy-Si-12 was successfully developed, which is suitable and scalable for an industrial process. Epoxy-Si-12 has a high silicon content (30.0%), low viscosity (29 cP at 25°C), and low vapor pressure (0.65 Torr at 25°C). A planarizing study was carried out using epoxy-Si-12 on trench patterned test substrates. The material showed excellent planarizing properties and met the calculated critical degree of planarization (critical DOP), which is a requirement for a successful etch process. An S-FIL/R process using epoxy-Si-12 was demonstrated using an Imprio® 100 (Molecular Imprints Inc., Austin, Texas) imprint tool. The results indicate that epoxy-Si-12 works very well as a planarizing layer for S-FIL/R.
Reverse-tone Step and Flash Imprint Lithography (S-FIL/R) requires materials that can be spin coated onto patterned
substrates with significant topography and that are highly-planarizing. Ideally, these planarizing materials must contain
silicon for etch selectivity, be UV or thermally curable, have low viscosity, and low volatility. One such novel material
in particular, a branched and functionalized siloxane (Si-12), is able to adequately satisfy the above requirements.
This paper describes a study of the properties of epoxy functionalized Si-12 (epoxy-Si-12) as a planarizing layer. An
efficient synthetic route to epoxy-Si-12 was successfully developed, which is suitable and scalable for an industrial
process. Epoxy-Si-12 has a high silicon content (30.0 %), low viscosity (29 cP @ 25 °C), and low vapor pressure (0.65
Torr @ 25 °C). A planarizing study was carried out using epoxy-Si-12 on trench patterned test substrates. The material
showed excellent planarizing properties and met the calculated critical degree of planarization (critical DOP), which is a
requirement for a successful etch process. An S-FIL/R process using epoxy-Si-12 was demonstrated using, an ImprioR
100 (Molecular Imprints Inc., USA) imprint tool. The results indicate that epoxy-Si-12 works very well as a planarizing
layer for S-FIL/R.
Nanoimprint lithography is a newly developed patterning method that employs a hard template for the patterning of
structures at micron and nanometerscales. This technique has many advantages such as cost reduction, high resolution,
low line edge roughness (LER), and easy operation. However, resist peeling, defects, low degree of planarization, and
low throughput issues present challenges that must be resolved in order to mass produce advanced nanometer-scale
devices. In this study, the new approach of using spin-on hard mask materials under the resist to modify its adhesion
during a UV irradiation process in nano imprint lithography was proposed to increase process latitudes. The performance of this process is evaluated by using step and flash imprint lithography. We expect that these spin-on hard mask materials (NCI-NIL-U series) under organic resist will be one of the most promising materials in the next generation of nano imprint lithography.
We discuss the lithographic qualification of a new type of binary mask blank consisting of an opaque layer of MoSi on a glass substrate, referred to simply as OMOG. First, OMOG lithographic performance will be compared to a previous chrome/MoSi/glass binary intensity mask (BIM) blank. Standard 70-nm chrome on class (COG) was not considered, as it failed to meet mask-making requirements. Theory and a series of simulation and experimental studies show OMOG to outperform BIM, particularly due to electromagnetic effects and optical proximity correction (OPC) predictability concerns, as OMOG behaves very similarly to the ideal thin mask approximation (TMA). A new TMA-predictability metric is defined as a means to compare mask blanks. We weigh the relative advantages and disadvantages of OMOG compared to 6% attenuated phase shifting. Although both mask blanks are likely sufficient for the 32-nm and 22-nm nodes, some differences exist and are described. Overall, however, of the blanks considered, it is concluded that OMOG provides the most robust and extendable imaging solution available for 32-nm and beyond.
Scaling of designs to the 45nm and future nodes presents challenges for block level lithography. Shrinking distances
between devices drive aggressive resist placement tolerances, challenging the ability to control critical dimension (CD).
In particular, the potential variation in shallow trench isolation oxide may result in variation of resist profile and CD,
thereby affecting edge placement accuracy. Potential sources of this include wafer-to-wafer or within-wafer STI trench
depth variations, and STI CMP variations that may be induced by active area pattern density fluctuations. Some other
potential sources of CD fluctuation include oxide sidewall variation, and implant level overlay or CD errors modulating
the proximity to the oxide sidewall. Depending on the actual variation of isolation oxide and the exposure latitude of the
resist, the CD variations simply from oxide variation may consume a large portion of the CD budget.
Several examples are given of variations in resist profile and CD arising from these substrate effects. The CD
uniformity of a test structure was shown to decrease dramatically with the addition of a BARC to the resist stack, most
likely due to the suppression of substrate reflectivity variations. Simulations performed using Panoramic Technologies
software demonstrated the potential sensitivity of the factors outlined above on CD and profile errors. A comparison of
simulated vs. experimental results is made for a case of intentional overlay error, showing the failure mode of the resist
profile as the mask edge passes from STI to the active area. The simulations using a full physical model provided with
the simulation software predict a resist foot forming over the active area, which was confirmed experimentally.
Presented here is an analysis of photoresist profile and feature control performance for high-energy well
implant lithography as it is implemented in microelectronic devices, specifically SRAMs, at the 45 and
65nm nodes. As device designs become increasingly smaller to the tune of Moore's Law, deep well implant
lithography specifications become more and more stringent, and issues related to lateral implant scattering
that were more trivial for more relaxed designs begin to make significant contributions to photoresist
feature uniformity and implant profile control. Simplified process assumptions that overlook such non-ideal
implant phenomena can result in an overestimation of process latitude. Undesirable variability derived from
the implantation, lithography, and substrate associated with a deep well formation process can degrade
implantation profiles and have adverse effects on device electrical performance. Mechanisms for these
adverse effects such as implant scattering and implant straggle will be explored followed by their
relationships to process tolerance and electrical performance. Emphasis will be placed on evaluating the
optimum photoresist feature profile for a given process and determining its true process latitude as opposed
to "centering" a feature in a device layout during design. Finally, challenges confronting process control
methods for high-aspect ratio implant mask features will be discussed followed by some proposed process
improvement suggestions.
We present a systematic analysis of the imaging performance for a 0.93 numerical aperture (NA) state-of-the-art immersion lithography scanner and we compare this performance to its dry NA=0.93 counterpart. The increased depth of focus (DOF) enabled by immersion lithography presents a set of advantages for semiconductor manufacturing which we explore in this article. First, we show that 0.93 NA immersion prevents, for a 65nm gate-level process, the need for imposing pitch restrictions with an attenuated-PSM solution; something not possible with an equivalent "dry" process. Second, we demonstrate the superior critical dimension uniformity (CDU) of an immersion process in the presence of realistic focus variations typically encountered in semiconductor manufacturing. Third, we confirm that the through-pitch behavior of "wet" and "dry" scanners is well matched, enabling the possibility of transferring optical proximity corrections (OPC) between the two types of lithography scanners. The transferability of OPC is key to enabling a fast insertion of immersion lithography into the manufacturing process for the 65nm and 45nm nodes. Finally, we conclude that, from an imaging perspective, immersion is ready for high-volume manufacturing.
Immersion lithography has been proposed as a technique to print sub-100nm features using 193nm lithography. The process involves filling the space between the lens fixture of an exposure tool and the photoresist-coated silicon wafer with a liquid. In the case of immersion 193nm lithography, water can serve as that liquid. The immersion option raises questions about how photoresists and water interact. Components of the photoresist could be leached into the water, thus modifying the refractive index of the medium, depositing material on the lens, or altering the solubility switching process of the photoresist. Several phenomena could affect the optical properties of the resist and water and, ultimately, the resolution of the process. To better understand the impact that immersion lithography would have on photoresist performance, a study has been undertaken to measure the amount of resist components that are leached by water from model 193nm photoresists. The components studied were residual casting solvent (propylene glycol methyl ether acetate), the photoacid generator (triphenylsulfonium nonaflate), and the base quencher (triethanolamine). Since it was expected that only a small amount of each material would be leached into the water, 14C-labeled samples of each resist component were synthesized and added to the 193nm resists. Films of the labeled resists were coated onto a silicon wafer and immersed in water. The water was collected and the film was dissolved in casting solvent and collected. The amount of material leached into the water was determined by radiochemical analysis. Spectroscopic ellipsometry was also used to quantify changes in the optical constants of the resists and the water.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.