Continuous innovations in lithography have led to the advancement of integrated chips for decades. To extend the so-called Moore's Law, chipmakers started to adopt Extreme Ultraviolet Lithography (EUVL) and have extended the use of EUV in their mass production.
For the mass production using EUV lithography, EUV pellicles will are essential to prevent unexpected particle-induced yield drop and to promote productivity.
However, the structure of the current EUV pellicle is different from that of optical pellicles. Thus, a new type of mounting and demounting system for EUV pellicle is required. The first generation of EUV pellicle mounting and demounting tools allowed chipmakers to handle EUV pellicles at their R&D levels. However, due to many manual processes involved with the first generation, it is necessary to introduce a fully automated tool to increase throughput and minimize particle contamination.
Due to the challenges to meet the transmittance requirements with Si related multi layers for EUV pellicle, many deposition method are tested to evolve the EUV transmittance. For the mass production using EUV lithography, it is expected that high transmittance EUV pellicles will be needed to improve productivity without particle induced yield drop. However, the structure of current EUV pellicle is too thin to handle it. Also, too thin layer cannot protect core layer such as p-Si during the EUV lithography, chemically. Thus, the new layers and materials are needed to achieve high transmittance of EUV and the physical strength. FINE SEMITECH CORP. (“FST”), started to develop new method for EUV pellicle capping layers. First of all, following simulation data were the EUV transmittance trend of SiN layer by according to Si/N ratio. From these simulation data, a simple calculation was formed to predict the EUV transmittance of SiN layer by measuring the atomic ratio. Also, we showed some experimental data of new-SiN layers. A thinner new-SiN layer was adoptable as protective layer with high EUV transmittance for the core layer of EUV pellicle from the results.
As improving device integration for the next generation, high performance and cost down are also required
accordingly in semiconductor business. Recently, significant efforts have been given on putting EUV
technology into fabrication in order to improve device integration. At the same time, 450mm wafer
manufacturing environment has been considered seriously in many ways in order to boost up the productivity.
Accordingly, 9-inch mask has been discussed in mask fabrication business recently to support 450mm wafer
manufacturing environment successfully. Although introducing 9-inch mask can be crucial for mask industry,
multi-beam technology is also expected as another influential turning point to overcome currently the most
critical issue in mask industry, electron beam writing time. No matter whether 9-inch mask or multi-beam
technology will be employed or not, mask quality and productivity will be the key factors to survive from the
device competition. In this paper, the level of facility automation in mask industry is diagnosed and analyzed
and the automation guideline is suggested for the next generation.
The Electron Optical System (EOS) is designed for the electron beam machine employing a vector scanned variable shaped beam (VSB) with the deflector. Most VSB systems utilize multi stage deflection architecture to obtain a high precision and a high-speed deflection at the same time. Many companies use the VSB mask writer and
they have a lot of experiences about Image Placement (IP) error suffering from contaminated EOS deflector. And also most of VSB mask writer users are having already this error. In order to use old VSB mask writer, we introduce the method how to compensate unexpected IP error from VSB mask writer. There are two methods to improve this error due to contaminated deflector. The one is the usage of 2nd stage grid correction in addition to the original stage grid. And the other is the usage of uncontaminated area in the deflector. According to the results of this paper, 30% of IP error can be reduced by 2nd stage grid correction and the change of deflection area in deflector. It is the effective method to reduce the deflector error at the VSB mask writer. And it can be the one of the solution for the long-term production of photomask.
As the device design rule shrinks, photomask manufacturers need to have advanced defect controllability during the Cr
and MoSi etch in the process of phase shift mask(PSM). In order to decrease the number of defects, which may be
originated from the mechanical transferring, plasma ignition and cross-contamination of resist stripping or cleaning
process, a novel plasma etching process was developed in a commercial photomask etcher. In this process named as the
"In-situ. etching", Cr and Mosi is etched stepwise in a chamber. The In-situ. etching processes produce better defect
level than that of the conventional process without deteriorating other mask quality such as CD performance, profile and
process reproducibility.
Particle generated by plasma ignition in in-situ. etching lead to defect which is an obstacle in Cr etch. Because plasma is
stable from Cr etch to Mosi etch, no defect is added in Mosi etch. Furthermore quantitative analysis of by-products
deposited and eroded by the chamber position shows that by-products are comprised of Al, chlorine, carbon. These byproducts
can be removed by fluorine-containing plasma.
A patterned TaN substrate, which is candidate for a mask absorber in extreme ultra-violet lithography (EUVL), was
etched to have inclined sidewalls by using a Faraday cage system under the condition of a 2-step process that allowed the
high etch selectivity of TaN over the resist. The sidewall angle (SWA) of the patterned substrate, which was in the shape
of a parallelogram after etching, could be controlled by changing the slope of a substrate holder that was placed in the
Faraday cage. The performance of an EUV mask, which contained the TaN absorber of an oblique pattern over the
molybdenum/silicon multi-layer, was simulated for different cases of SWA. The results indicated that the optical
properties, such as the critical dimension (CD), an offset in the CD bias between horizontal and vertical patterns (H-V
bias), and a shift in the image position on the wafer, could be controlled by changing the SWA of the absorber stack. The
simulation result showed that the effect of the SWA on the optical properties became more significant at larger
thicknesses of the absorber and smaller sizes of the target CD. Nevertheless, the contrast of the aerial images was not
significantly decreased because the shadow effect caused by either sidewall of the patterned substrate cancelled with
each other.
Reduced design rules demand higher sensitivity of inspection, and thus small defects which did not affect printability
before require repair now. The trend is expected to be similar in extreme ultraviolet lithography (EUVL) which is a
promising candidate for sub 32 nm node devices due to high printing resolution. The appropriate repair tool for the small
defects is a nanomachining system. An area which remains to be studied is the nano-machining system performance
regarding repair of the defects without causing multilayer damage. Currently, nanomachining Z-depth controllability is 3
nm while the Ru-capping layer is 2.5 nm thick in a Buffer-less Ru-capped EUV mask. For this report, new repair
processes are studied in conjunction with the machining behavior of the different EUVL mask layers. Repair applications
to achieve the Edge Placement(EP) and Z-depth controllability for an optimal printability process window are discussed.
Repair feasibility was determined using a EUV micro exposure tool (MET) and Actinic Imaging Tool (AIT) to evaluate
repairs the 30 nm and 40 nm nodes. Finally, we will report the process margin of the repair through Slitho-EUVTM
simulation by controlling side wall angle, Z-depth, and EP (Edge Placement) on the base of 3-dimensional experimental
result.
Implementation of high resolution E-beam tools is an attractive candidate for next generation lithography. To understand the forward scattering blur and proximity in 100kV E-beam tool, we studied E-beam acceleration voltage effects on dose sensitivity and iso-dense CD bias. We measured and analyzed the dose sensitivity (nm/%dose) near the design CD using various local density patterns. Proximity effects due to backscattering were much larger in 100keV exposure and caused the degraded dose sensitivity. We made a simple model and analyzed each contribution from a resist process, forward scattering and backscattering. We concluded that backscattering was the major reason of decreasing ILS(Image Log Slope) and the difference of forward scattering blur between 50 and 100 keV was negligible. Backscattering contribution compared to that of forward scattering was two times larger in the 100keV exposure, which can make accurate CD control difficult.
KEYWORDS: Etching, Critical dimension metrology, Modulation, Dry etching, Photomasks, System on a chip, Backscatter, Data modeling, Electron beams, Electron beam lithography
The correction of fogging effect from an electron beam writer and loading effect from a dry etcher are known as the important factors of non-uniformity of mask CD. To achieve the improvement of CD uniformity, the fogging and loading effect are modeled as a function of pattern density. Taking into account the different behavior of fogging and loading effect on the pattern density, the amount of correction is able to be extracted using the promising modeling and dose modulation technique. In this work, we report the evaluation of correction method with improved model using the linear combination of fogging and loading effect. We compared the various cases and presented the best result of the improvement of CD uniformity.
In the photo-lithography process, a mask is one of the most important items because its imperfection induces the variation of critical dimension (CD) and becomes the source of the CD error on the wafer. The CD error amplification is denoted by using Mask Error Enhancement Factor (MEEF)(1,2) and related to the photo-lithography process. Nowadays MEEF increases conspicuously as the device shrinks so fast. Therefore the mean-to-target (MTT) and the uniformity of the mask CD are very important factors to reduce the effect of high MEEF. In general, the process constant k1 factor has been cited to denote the capability of the photo process for a certain resolution. However MEEF can describe the process difficulty well because it depends on the layout design and the process conditions although the designed patterns have the same design rule.
In this study the MEEFs of sub-80nm DRAM patterns(3) are discussed with the process constant k1, MTT and the mask CD uniformity. And then the results are compared with the simulation and the wafer process data. Considering the mask specification calculated from the wafer specification and MEEF, the photo tool and process upgrade is necessary to reduce MEEF and to have the mask fabrication tolerance.
Dry etching process is widely used in semiconductor field and in photomask manufacturing. Even though dry etching technique can be much better in obtaining straight profile and better CD (Critical Dimension) uniformity than wet etching technique, it has a severe problem in terms of defect issue. Especially, very tough controllability of defects is essential for the photomask dry etching process because defect can be printed on the wafer over. Therefore, we studied defect free photomask etching techniques and found out the possibility of particle evasion. With In-situ etching method, defect generation by MoSiON etching could be reduced compared to when standard etching process is used while the process result is almost same as that of the standard process.
In this paper, we will present the experimental result of in-situ. dry etching process technique for Cr and MoSiON, which reduces the defect level significantly.
CAR(Chemically amplified resist) is widely used in 50keV VSB (Variable Shaped Beam) e-beam process in photomask manufacturing due to its advantage of high sensitivity which gives to reduced writing time compared to non-CAR. The 10kV raster e-beam system, however, is spread out already worldwide and plays a important role till now in middle grade mask-making. Conventionally the non-CAR like ZEP7000 has been applied to the 10kV raster e-beam system and it gives good performance for raster scan e-beam system. In mass production, sometimes, maintaining two kinds of resist simultaneously of CAR and non-CAR are inefficient strategy to the mask house which has limited resources. This situation makes the authors to apply CAR to the 10kV raster e-beam process.
Generally, the grid of 10kV raster e-beam(MEBES) is large and limited compared to the current VSB grid. Historically, many layout data is designed already based on the large limited grid and this gives to limited sizing value. Moreover, it is difficult to control exposure dose in raster e-beam system and control bias with develop time in CAR process. These situations make more difficult CAR application to raster e-beam system under the simple mask data preparation strategy.
In this paper, some critical problems will be discussed in isofocal process making for raster scan e-beam system. Advantage and disadvantage will be also discussed through the comparison of basic parameters such as dose margin, develop margin, and the fogging effect between the CAR and non-CAR process in 10kV raster e-beam process.
As promising technologies for ArF optical lithography, CLM(Chrome-Less Mask) and alternating phase shift mask(PSM) technologies among RETs(Resolution Enhancement Techniques) for low k1 have been researched worldwide for a couple of decades. Quartz dry etching has become more critical to manufacture the mask with those technologies in the ArF lithography. Alternating PSM and CLM require the formation of 180-degree phase difference by quartz dry etch. There are many error factors, which can influence CD uniformities on mask and wafers, in dry etch step such as micro-trench, depth uniformity, sidewall angle, and morphology. Furthermore, quartz depth is hard to control because there is no stopping layer for quartz etch. Micro-trench, one of the important factors on quartz
etch, can drop light intensity on wafer. Therefore, micro-trench can deteriorate the RET. We investigated characteristics of micro-trench during quartz dry etch process and the influences on resolution, which can be improved by dry etch parameters.
Defect-free mask is a dream of mask makers. Repair technology [1] that removes defects on Att. PSM is getting more attentions than ever. Therefore the fast and precise verification of repaired results is highly required. Most confirmation methods are carried out by using the inspection system because it is faster than AIMS to verify the repaired results. However, the accuracy of the verification using the inspection system cannot be compared to it with AIMS in the view of printability. In this paper, the results of optical simulation using top-down repair image are compared with those of AIMS for rapid confirmation of repaired results with competitive accuracy. Also, neural network which can compute the complex non-linear relationships easily are used to increase the accuracy of repair simulation.
Dissolved resist effect on the global CD has been studied in detail in an effort to understand the CD reduction phenomenon due to develop loading. Spin spray process also showed the loading effect although it is less than that of puddle process. In rotating system like spin spray develop process, it is necessary to understand the fluids effect of developed resist to improve the local and global CD uniformity.
In our study, CD reduction due to develop loading has a value of a few nm to ~10 nm as a function of flow direction of eroded resist and erosion time resulted from input dose in the photo mask designed to analyze the loading effect using 50keV exposure system.
There are limit in reducing the loading effect using rpm or flow amount control in spin spray process. The range, direction and amount of loading effect according to flow direction, erosion time and process condition like rotating speed and chemical flow amount will be discussed, considering E-beam fogging effect. Develop loading effect at puddle process will be presented.
Mask critical dimension (CD) errors are analyzed in case fogging effect is corrected by dose modulation method with comparison of measurement and simulation. In the test mask, an extreme condition from pattern density 0% to 100% is applied for making fogging effect. On the ground of the utmost pattern densities which is one of the factors of fogging effect, various mask CD errors are observed with optical measurement in spite of fogging correction. Each error factor is distinguished from whole mask error using electron beam simulator which is adopting Monte Carlo (MC) calculation for electron scattering modeling, proximity effect correction (PEC) and even fogging effect correction. From error analysis, 3 kinds of mask error are observed. The first CD error is from an inaccurate modeling of fogging effect, the second is from fogging correction program. The third is error from development loading effect. The two formers are comparatively less important than the latter because they can be soluble problems by careful selection of fogging model or improvement of computing systems. However, error from develop loading effect is hard to solve so that not only chemical but also fluid mechanical approach is needed.
In order to analyze a simultaneous correction of fogging and loading effect, the e-beam lithographic simulation was performed with dose modulation method. The in-house e-beam simulator which adopts Monte-Carlo method for electron scattering is used for performing Proximity Effect Correction (PEC) and fogging correction during the e-beam
lithographic processes. Various values of theta, representative parameter which describes the deposited energy by fogging, are used for simulation. Fogging effect is well known phenomenon which is the additional energy deposition into large exposed area by second electron scattering, and this fogging correction is successfully achieved by dose modulation method. However, etch loading cannot be compensated properly by modulating dose due to its unique
property. From the simulation results, it is obviously necessary to correct etch loading effect and fogging effect simultaneously in order to cure global and local CD errors. The bigger loading effect is, the bigger local CD error induced by dose modulation method is to be generated. This global error is reducible but irremovable perfectly owing the discrepancy between the property of etch loading effect and dose modulation. However, the proper selection of eta,
the ratio of the energy deposition into resist from the back scattering electrons verse the forward scattering electrons, can remarkably reduce the global and local CD errors. As a consequence, the method of the dose modulation is not the perfect way to correct the CD errors induced by etch loading or positional induced error. Nevertheless, the dose modulation method with variable eta can be an alternative way to control the designed CD because of its precision and rapidity.
In photomask manufacturing, etch loading effect is one of the most serious problems. The equal size of isolated clear patterns, each of which is surrounded by different pattern density, can show different CD (critical dimension) results after Cr etching process. Furthermore, as the feature size decreases and pattern density increase, the burden of Cr loading effect in mask fabrication is more enlarged than ever. In this paper, we will present the new method for approaching to the loading free process in photomask Cr dry etch.
As the design rule of semiconductor devices shrinks dramatically, the reticle defect detectability and its specification need to be more tighten than before. Moreover, the fact that most of critical layer from DRAM mass productions are processed with using PSM gives us high defect printability, which burdens the reticle inspection processes. To analyze the specification of PSM defect, the programmed defect test plate was designed and fabricated. The programmed defect test reticle contains some critical DRAM patterns with different design rules (150 nm to 110 nm node) for the low k1 KrF lithography. Various kinds of defect were programmed in the test reticle. After inspection of this test plate and wafer exposure, the results of detectability and those of printability were compared and the defect printability dependency on design rule and wafer illumination conditions was analyzed. Finally the PSM defect specification is derived from these results for sub 130 nm KrF lithography.
As the design rule of lithography becomes smaller, printability of reticle defect to wafer is crucial for the photomask manufacturing technology. In order to improve the controllability of reticle defects, inspection and repair systems are expanding their capability by continuously modifying hardware and software. This is a good solution to detect and review the defect but it is indirect approaching to reduce the defect in the photomask process. To produce the photomask of defect free or low defect density, effort is needed to improve the capability of defect control in the mask-making process and to evaluate the source of hard defect as well as soft defect.
In this paper, we concern the defect source and the feature of printed defects in photomask manufacturing steps. We also discuss the efforts to eliminate the defect source and to control the mask-making process with low defect density. In order to eliminate the source of defects, we partition the mask-making process with defect inspection system, SLF27 TeraStar and Lasertec MD2000, and review a defect shape with CD SEM and AFM. And we compare printed defects, which exist in each process steps, after dry etching process.
Assist features are recently employed in high density devices. But the application seems to be burdening to mask manufacturers. In this paper, considerations for making masks bearing assist features are discussed. A mask grid size, minimum resolution, CD linearity, pattern fidelity, and mask inspectability are among those considerations. For a 0.13 micrometer node, the grid size <EQ 5 nm (4X) is recommended according to our simulation. A high acceleration voltage (50 keV) e-beam writer is found to be a good tool for 0.26 micrometer (4X) assist features necessary for 0.13 micrometer node. A currently available inspection machine should give a good potential to detect defects on a 0.18 micrometer (4X) assist feature bearing mask.
Chemically amplified resists (CAR) which are widely used in KrF lithography are recently employed to e-beam mask making for its high sensitivity and high performance. The high sensitivity of CAR is attractive in a 50keV variable shaped e-beam system for reducing heating effect and improving throughput problems. As the device shrinkage is accelerated, superior mask process has highly been requested in the 10keV system as well. To cope with these requests, the feasibility of a CAR in a 10keV e-beam system has been investigated through comparison with a typical resist for 10keV, PBS. The difference of each resist potentiality between CAR and PBS results in a contrast superiority. As CAR uses an aqueous developer, the development induced error can be reduced owing to its good develop stability and a high evaporation heat. As a result, more accurate CD control can be achieved. The CD linearity and dose margin with the CAR are comparable to or better than those with the PBS. It is concluded that the CAR has various advantages over PBS and can supersede the PBS in a 10keV e-beam system.
Resolution comparison of a CAR (positive resist) and ZEP- 7000 was investigated for 50 kV e-beam machine and dry etching process. The CAR is superior to ZEP-7000 in view of resist profile, while it is inferior in view of CD variation, after Cr dry etching. The etching results were improved using thin resist, optimizing the etching condition and process effect correction. The best performance was obtained form e-beam proximity correction. It is difficult to apply this model to a real device since it has model errors and inconvenience in data handling. Among the activities for the improvements, etch condition optimization is the most effective. A pattern fidelity issue such as edge roughness and line-end shortening remains even with a CD linearity improvement.
As optical lithography error budgets on pattern displacement become more and more stringent for features as small as <180 nm, overlay control will be one of the top challenges facing lithography in the future. However, mask induced error budgets are less considered than uniformity of a mask. In this paper, we demonstrate a pattern displacement caused by stress induced distortion, in-plane distortion(IPD) values of chrome-on-glass (COG) and phase-shift-mask (PSM) blanks, and overlay errors. The magnification after AR/Cr layer removal for a COG is 0.37 ppm, which corresponds to an IPD of 33 nm across 100 x 100 mm2 area. The IPD for PSM corresponds to 43 nm across 100 x 100 mm2 area with 0.48 ppm. The IPD for PSM increases dramatically with increasing open ratios, while that for COG only slightly increases. It is found that mix-match between steppers and scanners should be avoided as long as COG mask and PSM are mixed because errors such as skew and scan direction magnification are uncorrectable with steppers.
Proposed high throughput electron beam systems require a large current, which intrinsically degrades the image quality due to Coulomb interaction effect. For that reason, the maximum achievable beam current is determined by the resolution required. Considerable efforts have been devoted to determine the beam blurs in electron beam systems. However, since measurement of the beam blur is highly difficult, we suggest three beam blur measurement methods in this paper: using process latitude, SEM resist figures and dot mark scan data. Although the results from these three methods do not agree exactly, it is possible to estimate beam blur, 90 nm 120 ran in mask writing system, EBM-3000 (Toshiba).
In mask-making process with e-beam lithography, the process capability is usually affected by exposure profile, resist contrast and development process. Dose latitude depends significantly on these three parameters. In this work, dose latitude between different resist contrasts has been experimentally studied as a function of linewidth, dose, beam size and over development magnitude using commercial PBS and ZEP 7000 resist on a photomask with 10 keV exposure. It has been found that ZEP 7000 resist with high contrast shows lower dose latitude, more sensitivity to the variation of linewidth, dose and beam size except for over development magnitude due to its relatively longer development time.
Phase shifting masks (PSMs) give us a breakthrough in the future semiconductor industry by extending sub-micron lithography further. PSM has been used for several years, and its requirements are changing due to the development of other technologies. First, it became possible to use high transmittance attenuated PSM (HT-Att-PSM) because of improvement in the photoresist technology. Second, continuous improvement in the technology of the inspection equipment lowered the inspection wavelength. Now, we are provisionally targeting to make HT-Att-PSM having 20% transmittance at ArF line and to choose KrF line as the inspection wavelength. In this study, we simulate the optimum conditions for HT-Att-PSM using matrix method. The simulation is performed to find the optimum range of the variables that yield 180 degree phase shift and 20 plus or minus 5% transmittance at the exposure wavelength, and less than 40% transmittance at the inspection wavelength. Based on the simulation results, we find the optimum fabrication condition of HT-Att-PSM. Using measured optical constants as a function of film composition, we determine the optimum film composition yielding 20% transmittance and 180 degree phase shift at the exposure wavelength and below 40% transmittance at the inspection wavelength. As a result, Cr2Al8O15 film with thickness of 124 nm was found to be suitable for ArF line HT- Att-PSM.
As optical lithography will be extended to device generations below 150 nm of design rule, the critical dimension (CD) uniformity on a photomask is required to be as small as 13 nm (3(sigma) ). The relationship between development method and dark erosion is discussed in view of CD variations. The temperature variation on a photomask is found to be more than 4 degrees Celsius for a conventional spin-spray development. An optimized process using a 'puddle process' to minimize developer temperature effect and non-uniform spray pressure effect on CD variation. The CD uniformity using the optimized process brings 11 nm in 3(sigma) over 127 mm X 127 mm area on a 6-inch mask.
We have examined focus behavior and process latitude of contact hole patterns on embedded half-tone phase shifting masks (HT-PSM) with simulation and experimentally measured aerial images. The results of simulation and experiment show that the shifter side-wall profile, transmittance, phase angle of shifter, and thickness affect the aerial image profile. Also, it is found that PSMs are affected by mask topography more than binary intensity masks are. AIMS (aerial image measurement system) measurements and actual experiments confirmed that shifter profiles have an effect on the best focus position, not on the focus latitude.
Since island patterns are subject to optical proximity effect, it is not easy to maintain island patterns as good image as same sized periodic lines with a conventional lithographic method such as a chrome transmission mask and on-axis illumination. Five different approaches, including a conventional mask with a conventional illumination, an alternating PSM, a half-tone PSM, a quadruple illumination, and a quadruple illumination with a half-tone PSM, were evaluated to compare pattern fidelity, meaning overlay tolerance, as well as process latitude. Conventional critical dimension (CD) measurement proved not to be a proper method as the criteria of the pattern fidelity. It is found that measurement of the shortest length of the island pattern, regardless of measurement orientation, is more meaningful. Under the condition that the dot size should be as small as possible without generating of any bridging between dots, the alternating PSM showed the widest process latitude. None of the methods except for the alternating PSM showed better result than the conventional method. From this study it is found that only the alternating PSM can substitute the conventional technique.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.