Mask absorber variations are known to impact wafer imaging. To understand these impacts, absorber variations around SRAF and line-end features are studied on both bright and dark field masks. The primary areas of investigation are SRAF absorber thickness and sidewall angle variation. The working hypothesis was that these two variations are most prevalent in EUV mask absorber processing and could limit EUV imaging. In addition, this study will investigate whether Optical Proximity Correction (OPC) and can compensate for absorber thickness and sidewall variations. AFM data were collected to identify whether qualitative variations between SRAF and main features in the mask absorber were present. Simulations were deployed to quantify the response of wafer images to mask absorber variations. The study found sensitivity to SRAF SWA and thickness variations in the dark field and bright field cases. The study also found that OPC mitigates a large part of the mask SRAF shape variations, if the OPC model includes the quantified variation. Consequently, mask characterization and inclusion in OPC models is needed to reduce model errors.
Background: One of the challenges for extreme ultraviolet (EUV) lithography is the mitigation of mask three-dimensional effects arising from the oblique incident angle and the mask topography. As the scanners’ numerical aperture and the pattern aspect ratio increase, these effects become more prominent. A potential solution to reduce them consists in replacing the current TaBN absorber for a higher-k material. Aim: We demonstrate the potential of a mask inspection platform to evaluate the impact of different absorber materials on actinic defect inspection. Approach: We evaluate the performance of a reflective-mode EUV mask scanning microscope (RESCAN), our actinic lensless inspection tool, with three different absorber materials (hydrogen silsesquioxane, TaBN, and Ni). We study the effect of these materials on the image formation and compare the defect maps. Results: The Ni absorber mask exhibits a better contrast compared to the TaBN one, even though the thickness of the layers differs only by 10 nm. Programmed defects are localized and detected with a high signal-to-noise ratio (SNR). Conclusions: The gain in contrast for the Ni absorber being significant, the SNR is higher for a smaller defect in a TaBN absorber photomask. RESCAN allows the evaluation of the performance of absorber materials in defectivity and image formation on small samples.
For EUV photomasks, high-k absorber materials represent a potential strategy to effectively mitigate mask 3D effects which are getting more prominent as the scanners’ NA increases. The performance of RESCAN, our actinic lensless imaging microscope is evaluated through three different absorber materials (HSQ, TaBN, and Ni) together with the imaging properties of the materials themselves. Defect maps for each material are analyzed and compared.
The re ective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic mask inspection capabilities for defects and patterns with high resolution and high throughput, for 7 nm node and beyond. Here we, will report on our progress and present the results on programmed defect detection on random, logic-like patterns. The defects we investigated range from 200 nm to 50 nm size on the mask. We demonstrated the ability of RESCAN to detect these defects in die-to-die and die-to-database mode with a high signal to noise ratio. We also describe future plans for the upgrades to increase the resolution, the sensitivity, and the inspection speed of the demo tool.
Actinic mask defect inspection is an essential process step for the implementation of extreme ultraviolet (EUV) lithography in high-volume manufacturing. The main challenges for any mask defect inspection platform are resolution, sensitivity, and throughput. The reflective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic patterned mask inspection capabilities for defects and patterns with high resolution and high throughput for node 7 and beyond. Namely, the goal of the RESCAN project is to develop a tool capable of inspecting an EUV reticle in 7 h and detect mask defects down to a size of 10 nm×10 nm. The lensless imaging concept allows overcoming the resolution limitations due to the numerical aperture and lens aberrations of conventional mask imaging systems. With the increasing availability of computational power and the refinement of iterative phase reconstruction algorithms, lensless imaging became a powerful tool to synthesize the complex amplitude of the reticle image providing us also with extremely valuable information about phase and mask three-dimensional effects. Here, we present a brief description of the current prototype of the RESCAN platform and illustrate a few experimental examples of programmed defect detection.
With extreme ultraviolet (EUV) lithography getting ready to enter high volume manufacturing, there is an imminent need to address EUV mask metrology infrastructure. Actinic defect inspection of patterned EUV photomasks has been identified as an essential step for mask qualification, but there is no commercial tool available right now. We address this gap with the RESCAN tool, a defect inspection platform being built at Paul Scherrer Institut (PSI), co-developed in collaboration with Nuflare Inc, Japan. RESCAN uses Scanning Scattering Contrast Microscopy (SSCM) and Scanning Coherent Diffraction Imaging (SCDI) for fast defect detection and fine defect localization. The development of a stand-alone tool based on these techniques relies on the availability of (1) a bright coherent EUV source with a small footprint and (2) a high frame-rate pixel detector with extended dynamic range and high quantum efficiency for EUV. We present two in-house projects at PSI addressing the development of these components: COSAMI and JUNGFRAU. COSAMI (COmpact Source for Actinic Mask Inspection), is a high-brightness EUV source optimized for EUV photons with a relatively small footprint. JUNGFRAU (adJUstiNg Gain detector FoR the Aramis User station) is a silicon-based hybrid pixel detector, developed in house at PSI and prototyped for EUV. With a high frame rate and dynamic range at 13.5 nm, this sensor solution is an ideal candidate for the RESCAN platform. We believe that these ongoing source and sensor programs will pave the way towards a comprehensive solution for actinic patterned mask inspection bridging the gap of actinic defect detection and identification on EUV reticles.
One of the major obstacles towards the implementation of extreme ultraviolet lithography for upcoming technology nodes in semiconductor industry remains the realization of a fast and reliable detection methods patterned mask defects. We are developing a reflective EUV mask-scanning lensless imaging tool (RESCAN), installed at the Swiss Light Source synchrotron at the Paul Scherrer Institut. Our system is based on a two-step defect inspection method. In the first step, a low-resolution defect map is generated by die to die comparison of the diffraction patterns from areas with programmed defects, to those from areas that are known to be defect-free on our test sample. In a later stage, a die to database comparison will be implemented in which the measured diffraction patterns will be compared to those calculated directly from the mask layout. This Scattering Scanning Contrast Microscopy technique operates purely in the Fourier domain without the need to obtain the aerial image and, given a sufficient signal to noise ratio, defects are found in a fast and reliable way, albeit with a location accuracy limited by the spot size of the incident illumination. Having thus identified rough locations for the defects, a fine scan is carried out in the vicinity of these locations. Since our source delivers coherent illumination, we can use an iterative phase-retrieval method to reconstruct the aerial image of the scanned area with – in principle – diffraction-limited resolution without the need of an objective lens. Here, we will focus on the aerial image reconstruction technique and give a few examples to illustrate the capability of the method.
Actinic mask defect inspection is an essential process step for the implementation of EUV Lithography in high-volume manufacturing. The main challenges for any mask defect inspection platform are resolution, sensitivity, and throughput. The reflective-mode EUV mask scanning lensless imaging microscope (RESCAN) is being developed to provide actinic patterned mask inspection capabilities for defects and patterns with high resolution and high throughput, for node 7 and beyond. Namely, the first goal of the RESCAN project is to develop a tool capable of inspecting an EUV reticle in about 7 hours and detect mask defects down to a size of 10 nm. The lensless imaging concept allows to overcome the resolution limitations due to the numerical aperture (NA) and lens aberrations of conventional actinic mask imaging systems. With the increasing availability of computational power and the refinement of iterative phase reconstruction algorithms, lensless imaging became a powerful tool to synthesize the complex amplitude of the actinic aerial image providing us also with extremely valuable information about phase and mask 3D effects. Here, we present a brief description of the current prototype of the RESCAN platform and illustrate a few experimental examples of programmed defect detection.
Actinic mask inspection for EUV lithography with targeted specifications of resolution, sensitivity, and throughput
remains a big hurdle for the successful insertion of EUVL into high volume manufacturing and effective solutions are
needed to address this. We present a method for actinic mask inspection based on scanning coherent scattering
microscopy. In this method, the mask is scanned with an EUV beam of relatively small spot size and the scattered light is
recorded with a pixel detector. Customized algorithms reconstruct the aerial image by iteratively solving the phaseproblem
using over-determined diffraction data gathered by scanning across the specimen with a finite illumination. This
approach provides both phase and amplitude of actinic aerial images of the mask with high resolution without the need to
use high NA (numerical aperture) lenses. Futher, we describe a reflective mode EUV mask scanning lensless imaging
tool (RESCAN), which was installed at the XIL-II beamline and later at the SIM beamline of the Swiss Light Source and
show reconstructed aerial images down to 10 nm (on-wafer) resolution. As a complementary method, the a-priori
knowledge of the sample is employed to identify potential defect sites by analyzing the diffraction patterns. In this
method, the recorded diffraction patterns are compared with the die or database data (i.e. previously measured or
calculated diffraction data from the defect-free mask layout respectively) and their difference is interpreted as the defect
signal. Dynamic software filtering helps to suppress the strong diffraction from defect-free structures and allows
registration of faint defects with high sensitivity. Here, we discuss the basic principles of these Fourier domain
techniques and its potential for actinic mask inspection with high signal-to-noise ratio and high throughput.
Ionizing radiations such as extreme ultraviolet (EUV) and electron beam (EB) are the most promising exposure source for next-generation lithographic technology. In the realization of high resolution lithography, it is necessary for resist materials to improve the trade-off relationship among sensitivity, resolution, and line width roughness (LWR). In order to overcome them, it is essential to understand basic chemistry of resist matrices in resist processes. In particular, the dissolution process of resist materials is a key process. Therefore, it is essential for next-generation resist design for ionizing radiation to clarify the dissolution behavior of the resist film into developer. However, the details in dissolution process of EUV and EB resist films have not been investigated thus far. In this study, main chain scission and dissolution behavior of poly(methyl methacrylate) (PMMA) as main chain scission type resist was investigated using quartz crystal microbalance (QCM) method and gel permeation chromatography (GPC) in order to understand the relationship between the degree of PMMA degradation and dissolution behavior. The relationship between the molecular weight after irradiation and the swelling behavior was clarified.
To enhance global CDU attained by our EB mask writer EBM-8000, we examined extending the loading effect correction (LEC) function to treat plural of loading effects, for instance, develop and etch loading. Here, we propose a LEC dose composition method, assuming uniquely-defined relation between amount of dose modulation and resultant CD change. Sets of LEC dose maps (pairs of base dose maps and proximity backscattering ratio maps) are converted to sets of CD change maps which are summarized to create a set of dose maps used for writing. This paper describes the correction procedure and possible applications of the method.
Availability of Defect-free blank is one of the most critical issues for high volume mask production in EUV lithography.
Blank defects will disrupt the structure of multi-layers and will cause phase defects in EUV lithography. Phase defects
caused by the disruption in the structure of multi-layers are difficult to repair after identified by the pattern inspection
tools. Defect density in blanks are improving year by year, but the current progress is still insufficient [1][2]. All EUV
blanks should be inspected for qualification before blank suppliers can establish manufacture processes for defect-free
EUV blanks with higher productivity. There are also techniques in EUV blank alignment to relax the specification of
defect density on a blank [3][4]. The origin of the alignment is the coordinate defined by the fiducial marks which are
prepared on the glass of low thermal expansion material (LTEM) or on the multi-layer of EUV mask substrate. A limited
number of defects can be covered by absorber film of a pattern in this technique.
We developed an optional function for EBM-8000 [5] to precisely align a blank based on the fiducial marks by electron
beam (EB) prior to pattern writing. Two possible structures of fiducial marks, advocated by SEMI standard 4580, can be
considered to be used for fiducial marks in EUV blanks. In this paper, the alignment accuracy of blanks will be discussed
through alignment experiments with actual EUV blanks.
We report our development of fogging effect correction method aimed for EBM-8000, our newest series of EB mask
writers for mask production of 22nm half-pitch generation and for mask development of 16nm half-pitch generation. We
refined the method of fogging effect correction by taking account of dose modulation for proximity effects correction
and loading effect correction into fogging effect correction, greatly reducing theoretical error. Writing experiment has
shown that our method based on the threshold dose model is effective, though deviation from the model is observed.
KEYWORDS: Photomasks, Lithography, Amplifiers, Data storage servers, Mask making, Immersion lithography, Data conversion, Extreme ultraviolet lithography, Data processing, Data corrections
Many lithography candidates, such as ArF immersion lithography with double-patterning/double-exposure techniques,
EUV lithography and nano-imprint lithography, show promising capability for 22-nm half-pitch generation lithography.
ArF immersion lithography with double-patterning/double-exposure techniques remains the leading choice as other
techniques still lack the conclusive evidence as the practical solution for actual production. Each of the prospective
lithography techniques at 22-nm half-pitch generation requires masks with improved accuracy and increased complexity.
We have developed a new electron beam mask writer, EBM-8000, as the tool for mask production of 22-nm half-pitch
generation and for mask development of 16nm half-pitch generation, which is necessary for the practical application of
these promising lithography technologies.
The development of EBM-8000 was focused on increasing throughput and improving beam positioning accuracy. Three
new major features of the tool are: new electron gun with higher brightness to achieve current density of 400 A/cm2,
high speed DAC amplifier to accurately position the beam with shorter settling time, and additional temperature control
to reduce the beam drift.
The improved image placement accuracy and repeatability, and higher throughput of EBM-8000 have been confirmed
by actual writing tests with our in-house tool.
Two EUVL masks were made using the compensation method for nonflatness of a mask; and the EUV1 was used to
evaluate the resulting overlay accuracy. For the same mask, the reproducibility of the intra-field overlay errors was better
than 1 nm (3σ) without linear components; and that of the flatness was better than 20 nm PV. In contrast, the overlay
errors were about 3 nm (3σ) for the two masks. The main cause of this degradation in overlay accuracy might be the
difference in mask flatness (~260 nm PV). Using overlay patterns corrected by the compensation method reduced the
overlay errors to about 2.5 nm (3σ). Although the compensation method produced only a small change, it definitely
improved the intra-field overlay of the EUV1. Furthermore, the EUV1 was used to evaluate the intra-wafer overlay for
23 shots. The single-machine overlay (SMO) was found to be better than 4.5 nm (Mean + 3σnonlinear), and the
mix-and-match overlay (MMO) between the EUV1 and an ArF immersion scanner (NSR-S610C) was about 20 nm
(Mean + 3σnonlinear). The main cause of the MMO errors might be the nonflatness of the mask and wafer chucks of the
EUV1. Thus, the chucks must be made flatter to reduce MMO errors. This work was supported in part by NEDO.
Inverse lithography technology (ILT) is a procedure that optimizes the mask layout to produce an image at the wafer with the targeted aerial image. For an illumination condition optimized for dense pitches, ILT inserts model-based subresolution assist features (AF) to improve the imaging of isolated features. ILT is ideal for random contact hole patterns, in which the AF are not at intuitive locations. The raw output of ILT consists of very complex smooth shapes that must be simplified for an acceptable mask write time. It is challenging for ILT to quickly converge to the ideal pattern as well as to simplify the pattern to one that can be manufactured quickly. ILT has many parameters that effect process latitude, background suppression, conversion run time, and mask write time. In this work, an optimization procedure is introduced to find the best tradeoff between image quality and run time or write time. A conversion run time reduction of 4.7× is realized with the outcome of this optimization procedure. Simulations of mask write time quantify the ability of ILT to be used for full chip applications. The optimization procedure is also applied to alternate mask technologies to reveal their advantages over commonly used 6% attenuated phase shift masks.
Nano-Imprint Lithography (NIL) is one of the leading potential solutions for next generation lithography. Obtaining full field template with fine pattern resolution and reasonable throughput are the critical challenges in NIL. In a previous study, we reported the pattern resolution capability of EBM-6000 under nominal operation conditions (Current density: 70 A/cm2) that can be applied to CMOS device fabrication of 45 nm hp generation1. Smaller blur for better resolution is necessary to make NIL templates for 32nm hp generation and beyond. Blur in patterning process can be suppressed with smaller process blur, smaller aberration of electron optics, smaller forward scattering in resist and coulomb interaction among electrons. Beam blur incurred by coulomb interaction among electrons in EBM-6000 can be reduced with lower current density. In this paper, resolution extendibility of EBM-6000 with lower current density (30 A/cm2) was tested as one of the resolution enhancement techniques. Smaller aberration of electron optics is also effective to improve the resolution. We also checked the resolution of EBM-7000 under nominal operation conditions (Current density: 200 A/cm2) for a basic study of this paper. EBM-7000, which was developed for mask fabrication of 32 nm hp generation and mask development of 22 nm hp generation, will keep using 50 kV acceleration voltage and enhanced electron optics with smaller aberration as compared with EBM-60002.
In extreme ultraviolet lithography (EUVL), mask non-flatness contributes to overlay errors in EUVL scanners. Tight
non-flatness targets are required to meet future overlay; for example, the International Technology Roadmap for
Semiconductors (ITRS) requires that substrate non-flatness will need to decrease to 36 nm peak-to-valley in 2013. To
meet these tight non-flatness values, suppliers must use aggressive polishing steps, adversely impacting substrate yield
and mask blank cost of ownership. An alternative option is to use image placement corrections at the writing step of the
reticle to compensate for the predicted impact of the non-flatness pattern placement errors, which would allow the
specifications to be relaxed.
In this paper, we will present the results of using e-beam image placement corrections during mask writing to
compensate for mask non-flatness. A low thermal expansion material (LTEM) substrate with about 500 nm of nonflatness
was employed. Three different compensation methods were used to calculate the predicted image placement
errors based upon the mask non-flatness, including the expected errors from scanner chucking. The mask was designed
to use a repeating set of four ASML alignment marks (XPA marks) across the mask. During e-beam writin, one mark
was left uncompensated, and the three different compensation methods were applied to the remaining marks. The masks
were exposed using the ASML alpha demo tool (ADT). An overview of the viability of e-beam correction
methodologies to compensate for mask non-flatness is presented based upon the wafer overlay results.
Extreme ultra-violet (EUV) lithography is one of the leading potential solutions for next generation lithography. Image
placement (IP) errors specific to EUV mask induced by non-telecentricity have to be minimized to satisfy the strict IP
requirement. IP accuracy of EUV mask is considerably influenced by electro-static chuck (ESC) characteristics and
backside non-flatness of each blank when it is held by ESC in EB mask writer, IP metrology tool, and exposure tool as
suggested in SEMI standard. We propose to apply the correction technique to each EUV mask in EB mask writing with
flatness data of blank and ESC to minimize IP errors caused by mask non-flatness and ESC characteristics. In
addition, IP control methodology for EUV mask with conventional IP metrology tool is proposed for pattern writing by
EB mask writer with this correction technique. Early development of EUV mask patterning is enabled by this IP control
methodology without substantial changes to the current mask process.
Despite the remarkable progress made in extending optical lithography to deep sub-wavelength imaging, the limit for the
technology seems imminent. At 22nm half pitch design rules, neither very high NA tools (NA 1.6), nor techniques such
as double patterning are likely to be sufficient. One of the key challenges in patterning features with these dimensions is
the ability to minimize feature roughness while maintaining reasonable process throughput. This limitation is particularly
challenging for electron and photon based NGL technologies, where fast chemically amplified resists are used to define
the patterned images. Control of linewidth roughness (LWR) is critical, since it adversely affects device speed and
timing in CMOS circuits.
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. This technology
has been shown to be an effective method for replication of nanometer-scale structures from a template (imprint mask).
As a high fidelity replication process, the resolution of imprint lithography is determined by the ability to create a master
template having the required dimensions.
Although the imprint process itself adds no additional linewidth roughness to the patterning process, the burden of
minimizing LWR falls to the template fabrication process. Non chemically amplified resists, such as ZEP520A, are not
nearly as sensitive but have excellent resolution and can produce features with very low LWR. The purpose of this paper
is to characterize LWR for the entire imprint lithography process, from template fabrication to the final patterned
substrate.
Three experiments were performed documenting LWR in the template, imprint, and after pattern transfer. On average,
LWR was extremely low (less than 3nm, 3σ), and independent of the processing step and feature size.
Double pattering or exposure methodologies are being adopted to extend 193nm optical lithography. These
methodologies require much tighter image-placement accuracy and Critical Dimension (CD) controls on mask than the
conventional single exposure technique. Our experiments indicate that the global image placement drift induced by the
time elapsed in mask writing is the dominant factor that degrades image-placement accuracy. In-situ grid measurement
method is being proposed to suppress this time dependent drift. Resist charging effect is also an important error factor.
While it can be reduced by charge dissipation layer (CDL), further feasibility study is required for using CDL to
overcome certain side-effects pertaining to CDL. High dose resist improves local CD uniformity and pattern fidelity.
However, mask writing time becomes longer with lower sensitivity. To satisfy conflicting issues, throughput and CD
uniformity, high sensitivity CAR which has short acid diffusion length is desirable. Shortening acid diffusion length is
essential for achieving good pattern resolution as well as good CD uniformity. This paper will address the results of
error source analyses and key schemes of accuracy improvements in photo-mask manufacturing using NuFlare
Technology's EB mask writers.
Image placement (IP) errors caused by electro-static chuck (ESC) and non-flatness of mask are additional factors in
writing extreme ultra-violet (EUV) mask, and minimizing their influences is being fervently addressed. New correction
technique of EBM-6000 has been developed for EUV mask writing based on the conventional grid matching correction
(GMC) without ESC to obtain good reproducibility to satisfy user's requirement to develop EUV mask at an early stage.
Double exposure / Double pattering methodologies are being adopted to extend 193nm optical lithography until the next
generation lithography, most likely the EUV, is solidified. The Double exposure / Double patterning methodologies
require tighter image-placement accuracy and Critical Dimension (CD) controls on a mask than the conventional single
exposure technique. NuFlare Technology's mask writer, EBM-6000 (1), is capable of achieving the required CD control
and high patterning resolution as fine as 35 nm, that are required for the hp 45nm lithography with Double exposure /
Double patterning methodologies, when newly developed resist (i.e. "low-sensitivity" resist) is used, as shown at several
occasions to date. Further, image-placement control with EBM-6000 has been improved based on extensive error
budget analysis to comply with the tight image-placement specifications required by the Double exposure / Double
Patterning lithography. This paper will show the results of the analysis and improvement of the image-placement
accuracy of EBM-6000 series mask writers.
Critical to the success of imprint lithography and Step and Flash Imprint Lithography (S-FIL®) in particular is the
manufacturing 1X templates. Several commercial mask shops now accept orders for 1X templates. Recently, there have
been several publications addressing the fabrication of templates with 32nm and sub 32nm half pitch dimensions using
high resolution Gaussian beam pattern generators. Currently, these systems are very useful for unit process
development and device prototyping. In this paper, we address the progress made towards full field templates suitable
for the fabrication of CMOS circuits.
The starting photoplate consisted of a Cr hard mask (≤ 15nm) followed by a thin imaging layer of ZEP 520A. The
EBM-5000 and the EBM-6000 variable shape beam pattern generators from NuFlare Technology were used to pattern
the images on the substrates. Several key specifications of the EBM-6000, resulting in improved performance over the
EBM-5000 include higher current density (70 A/cm2), astigmatism correction in the subfields, optimized variable stage
speed control, and improved data handling to increase the maximum shot count limitation.
To fabricate the template, the patterned resist serves as an etch mask for the thin Cr film. The Cr, in turn, is used as
an etch block for the fused silica. A mesa is formed by etching the non-active areas using a wet buffered oxide etch
(BOE) solution. The final step in the template process is a dice and polish step used to separate the plate into four
distinct templates.
Key steps in the fabrication process include the imaging and pattern processes. ZEP520A was chosen as the e-beam
resist for its ability to resolve high resolution images. This paper documents the resolution and image placement
capability with the processes described above. Although ZEP520A is slow relative to chemically amplified e-beam
resists, it is only necessary to pattern 1/16th the area relative to a 4X reduction mask. Write time calculations for 1X
templates have also been performed, and are compared to 4X photomasks.
A stage tracking function has been developed for a mask-scan EB mask writer. Position error of EB mask on an EB-mask-stage induces position error of projection beam on the EB-mask and the position of a writing pattern. The position of the EB-mask is measured by a laser interferometer. The shift from the aimed position is fed back to a mask selection deflection and a main deflection. The velocity of EB-mask stage and specimen-stage is also fed back to the deflection. The deflection control unit for the stage tracking has been made and the tracking function confirmed from the test memory of the unit. Using the unit, scanning writing patterns have been obtained with step and repeat stage mode.
A high accuracy electron beam writing system EBM-3500 has been developed for 130 nm node lithography technology. The EBM-3500 is based on its predecessor EBM-3000 system and incorporates new features to improve writing accuracies. Based on the extensive error analyses of the EBM-3000, several important improvements in such areas as ground noise and stray magnetic field reductions, among others, have been made. Thanks to these improvements, EBM-3500 achieves high accuracies to satisfy the present and future technology requirements.
KEYWORDS: Magnetism, Chromium, Electron beams, Objectives, Information operations, Quartz, Reticles, Magnetic semiconductors, Semiconductors, Optical simulations
A semi-in-lens electron beam (EB) optical system improves the beam resolution. However, the eddy current is induced in the target and deviates the beam position when the stage is moving continuously. We calculated the eddy current distribution by approximating the magnetic field on the target to a Gaussian distribution. In the mask-scan EB column1 the maximum value and the dispersion of the magnetic field on the target are 0.01 T and 30 mm, respectively. The beam shift due to the eddy current flowing in the Cr film on a reticle is 1.5 X 10-11 m at the stage speed of 0.1 m/s. Therefore, the eddy current does not degrade the positional accuracy.
A deficiency in throughput is one of the main problems for the post-100 nm generation mask writer. Mask-scan writing technology is one of the methods for increasing in the throughput. A large pattern is projected by scanning the electron beam over the mask pattern. We have developed a low aberration optical column to prove the concept of the mask- scan technology. We obtained the EB mask pattern image by scanning the electron beam over the mask. We confirmed the capability of the astigmatism correction by the bias voltage superposed on the main field deflectors.
Meeting the latest requirements of aggressive users for the advanced mask for optical lithography will be difficult. In addition, improving the productivity and throughput of the advanced mask with high-density pattern data is necessary. To overcome these hurdles, Toshiba Corp. and Toshiba Machine Co., Ltd. have developed the new advanced mask writer, the EBM- 3000, shown in Figure 1. The EBM-3000 especially takes measures against airborne contamination in the load-lock chamber. Three components of the mask blank handling system have a function as mini environment. To link each of these components, it also employs a standard mechanical interface, SMIF, based on the concept of local cleaning technology. This paper is intended to describe the design concept of the new mask blank handling system for the EBM-3000, and prove these measures to be effective against airborne contamination by the experimental results.
Toshiba and Toshiba Machine have developed an advanced electron beam writing system EX-11 for next-generation mask fabrication. EX-11 is a 50 kV variable-shaped beam lithography system for manufacturing 4x masks for 0.15 - 0.18 micrometer technology generation. Many breakthroughs were studied and applied to EX-11 to meet future mask-fabrication requirements, such as critical dimension and positioning accuracy. We have verified the accuracy required for 0.15 - 0.18 micrometer generation.
Background exposure of a resist caused by scattered electrons (the fogging effect) degrades critical dimension accuracy when the pattern density changes over the specimen. We measured the fogging effect in an electron beam optical column. In order to reduce the fogging effect, a scattered electron absorber plate having a converging holes structure was attached to the lower surface of the objective lens. When the most severe pattern for the fogging effect was applied, we achieved the size variation caused by the fogging effect less than 8 nm. The converging holes effectively trap the scattered electrons and greatly reduce the fogging effect.
Meeting the latest requirements of aggressive users for advanced masks for optical lithography will be difficult. In addition, improving the productivity and throughput of advanced masks with high-density pattern data is necessary. To overcome these hurdles, Toshiba and Toshiba Machine have developed a new advanced mask writer, the EX-11, shown in Figure 1. The EX-11 takes measures against airborne contamination before drawing is started. It also employs a standard mechanical interface (SMIF) based on the concept of local cleaning technology. This paper describes the design concept of the new mask blank handling system for the EX-11, and the efficiency of these measures was confirmed by the experimental results.
We have newly designed and constructed a unique electron optical column installed with an in-situ cleaning system, applying the down-flow ashing process with a mixture O2 and CF4. We carried out in-situ cleaning using designed system, and confirmed that beam drift which is caused by charging up of a contamination layer was reduced.
CD uniformity to be patterned by electron-beam (EB) writing system with a variable-shaped beam was evaluated. The experimental EB writing system, EX-8D, was used under conditions of current density of 20 A/cm2 and acceleration voltage of 50 keV. Quartz reticles coated with positive tone resist ZEP7000TM (Nippon Zeon Co., Ltd.) were applied. Test patterns of 1-micrometer-width design were written by shaped beam shots of 1 micrometer square with different exposure doses. Since higher measurement repeatability was confirmed, line width of test patterns without shot stitching points was measured by Nikon XY-3I with a circle-spot probe of 1 micrometer. Line width of clear patterns on resist film was measured after development, and line width of clear patterns on chrome (Cr) film of one mask was measured at same points after wet-etching. The other mask was measured at the same points after dry-etching process by conventional reactive ion etching (RIE). Certain comparisons in this study indicate the importance of evaluating CD uniformity on Cr film after dry- etching process. Expect for resist heating contribution by four-pass writing method, the uncertainty of CD error was quantified as follows: 4 nm (3(sigma) ) on resist film at the applied dose of 19 (mu) C/cm2, and 4 nm (3(sigma) ) on Cr film at the applied dose of 27 (mu) C/cm2.
Improvement of pattern placement accuracy is an important factor for the development of the electron beam (EB) lithography system for the next-generation photomask. It has been qualitatively pointed out that pattern shift error is induced by surface distortion of photomask. In this paper, we quantified pattern shift error induced by mask process and have identified aeolotropic magnification error and negligible orthogonality error. These results obtained by experiment and simulation indicate that attention must be paid to pattern shift error induced by mask process in fabrication of the next-generation photomask. Thus, a more rigid and stiffer photomask will be required to reduce pattern shift error induced by coating and developing.
This paper presents a method for estimating the influence of reticle flexure on pattern positioning accuracy, and evaluates the method by measuring patterned reticles. Reticle flexure causes the pattern shift which occurs by stretching or compression of the reticle surface. A height-mapping function of an electron beam (EB) writing system and a measuring machine are used to calculate the pattern shift due to reticle flexure. The bent shape of a reticle on the EB-writing system differs from that on the measuring machine, so that the patten shifts on the two machines are different. The pattern shifts caused by the bent shape difference were excluded from the measurement result of pattern positioning errors. The values of pattern positioning accuracy evaluation parameters, x, y-scaling and orthogonality, are calculated among several reticles (5 inches, 0.09 inches thick). The deviations of these three values are reduced to less than 50% of their uncompensated values.
Pattern measurement repeatability of metrology tools must be evaluated precisely to warrant higher pattern placement accuracy, according to a budget of pattern shift errors effected by the initial deformation of a substrate, clamping conditions, etc. As first steps, we focused on our metrology tool, Nikon XY-3i. Pattern measurement repeatability was usually evaluated to measure a referential pattern of a single mask repeatedly. For taking tilting variations on each of mask setting into account, we divided the coordinates of measured data into some error factors. Besides, we proposed sag correction method to eliminate tilting variation for precisely evaluation. This method was effective to unify each of the referential planes on measuring. Sag correction was effective to diminish in variations of orthogonality error factor and trapezoid error factors and deviations (3(sigma) ) of measurement repeatability. Therefore, we succeeded to get the quantitative budget of measurement repeatability for our metrology tool.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.