Spectroscopic critical dimension (SCDTM) metrology on line gratings has previously been shown to be a sensitive and useful technique for monitoring lithographic focus and exposure conditions. Line end shortening (LES) effects are sensitive to focus and potentially more sensitive to focus variation than side wall angle or other profile parameters of line gratings. Rectangular line segment structures that exhibit line-end shortening behavior are arranged in a rectangular two-dimensional (2D) array to provide a scatterometry signal sensitive to the profile of the thousands of line ends in the measurement beam spot. Spectroscopic ellipsometry (SE)-based scatterometry measurements were carried out on 2D array targets of rectangular features exposed in a focus-exposure matrix (FEM). The focus and exposure sensitivities of multiple shape parameters were found to be good and uniquely separable. In addition, the side wall angle of the line ends was found to be nearly linearly dependent on focus and provide necessary focus direction information. Focus and exposure can be determined from SCD measurements by applying a model generated to describe the focus-exposure behavior of multiple shape parameters using KLA Tencor's KT Analyzer software. Several different models based on different combinations of shape parameters were evaluated. Focus measurement precision of 3nm 3σ was obtained, which will be useful for lithography processes with tight depth of focus.
Lot-to-lot ADI CD data are generally used to tighten the variation of exposure energy of an exposure tool through an APC feedback system. With decreasing device size, the process window of an exposure tool becomes smaller and smaller. Therefore, whether the ADI CD can reveal the real behavior of a scanner or not becomes more and more a critical question, especially for the polysilicon gate layer. CD-SEM has generally been chosen as the metrology tool for this purpose. Because of the limitations of top-down CD-SEMs, an APC system could be easily misled by improper ADI CD data if the CD data were measured on a T-topped photo resist. ArF resist shrinkage and line edge roughness are also traditional causes for improper CD feedback if the user did not operate the CDSEM carefully. Another candidate for this APC application is spectroscopic-ellipsometry-based scatterometry technology, commonly referred to as SpectraCD. In recent studies, SpectraCD was proven to be able to reveal profile variation with excellent stability. The feasibility of improving a CDSEM-based APC system by a SpectraCD-based system in a high-volume manufacturing fab is therefore worthy of study.
This study starts from an analysis of the historical data for the polysilicon ADI CD of a 130 nm product. Two different sets of CD measured from the two different metrology tools were analyzed. In the fab, CDSEM was the metrology tool chosen for the APC feedback. The CD data measured by SpectraCD over a 2 month timeframe were plotted as a CD trend chart of the specific exposure tool. There are several trend-ups and trend-downs observed, even though the overall CD range is small. After a series of analyses, the exposure tool has been proven to be quite stable and the CD data measured by SpectraCD also reveal the real behavior of the exposure tool correctly. The scanner is shown to have been misled by improper CD feedback. In comparison with CDSEM, the linearity of the correlation between ADI and AEI CDs, which represents the consistence of etch bias, can also be improved from 0.4 to 0.8 by SpectraCD. The root causes are still under investigation, but one suspected reason is related to resist profile. All the analysis results will be reported in this paper. The data provided sufficient motivation for switching the APC feedback system of the fab from a CDSEM-based system to a SpectraCD-based system. The results of the new APC system will also be discussed.
Obtaining good post-etching CD uniformity is getting more and more important in advanced processes such as 90 nm, 65 nm, and even 45nm for 300 mm wafers. But process noise greatly impacts the CD uniformity, especially etching bias and metrology noise. To achieve a CD uniformity of below 3 nm for 300 mm post-etch wafers, the metrology noise and process noise must be reduced and compensated for. In this paper, we demonstrate spectroscopic ellipsometry CD with the advantages of high stability and high accuracy to get CD information precisely, and high sensitivity to monitor PEB temperature and exposure energy fine variation in order to compensate for the etching bias.
This study focuses on the feasibility of minimizing the CD uniformity of post-etch wafers by ADI CD compensation for a 300 mm leading-edge fab. Because the CD uniformity of after-development inspection (ADI) wafers from a leading-edge lithographic tool could be in the range of only 3 nm, it is very challenging to reveal the true CD signature of an ADI wafer using a metrology tool. A spectroscopic ellipsometry based metrology tool, SpectraCD, was used in this study. In order to make sure the CD signatures reported by SpectraCD reveal the true behavior of a lithographic tool, the well-published Total Test Repeatability (TTR) test was adopted. In comparison with 3 nm CD uniformity, a 0.2 nm TTR is accurate enough for this study. In addition, from more than 100 wafers produced within a week, the CD signature of ADI wafers is very stable on wafer-to-wafer and lot-to-lot bases. Basically, all the ADI wafers produced from a single post-exposure-bake plate of an exposure tool within a week show very similar CD signatures. The feasibility of reaching a CD uniformity of 3 nm for post-etch wafers will be demonstrated in this study.
A small notch or foot existing at the bottom of a polysilicon gate is a common issue for etching processes. The small notch or foot could have a major impact on the length of the polysilicon gate, and the performance of the device would then be impacted significantly, especially for cutting-edge devices. This paper demonstrates the capability of a spectroscopic ellipsometry based profile technology, SpectraCD, as a new metrology tool to monitor polysilicon gate process at 130 nm and 90 nm nodes. Firstly, the capability of SpectraCD as a metrology technology was studied, including dynamic precision and CD correlation. Dynamic precision in the range of 0.1~0.4 nm was demonstrated repeatedly in this study. CD correlation with CDSEM also showed a very linear result. R-squared values of ~0.99 are presented. Secondly, by comparison with images from cross-sectional SEM (XSEM) and TEM (XTEM), it has been proved in this study that SpectraCD can consistently flag different profile excursions of polysilicon gate, e.g., small notching, footing, or undercut. The size of the footing or notch reported by SpectraCD shows a linear correlation with the size extracted from XTEM images, which demonstrates quantitatively SpectraCD capability for detecting profile excursions. Finally, linear correlation between the bottom CD from SpectraCD and the gate lengths determined from electrical test (Lcap) will be presented.
We will present a complete example that demonstrates daily CD monitor for good CDSEM control, including sampling plan, monitoring procedure, and monitoring and matching data for multiple CDSEM. In addition, we also investigate two methods to address the carbon contamination problem. In the first method, carryover trends on three different film stacks, poly, metal, and multi-layer metal, before and after plasma clean are compared in search of ways to minimize carryover. The second method applies statistical treatment to remove the effect of carryover while maintaining sensitivity over small fluctuations in line CD monitor results. Both linear regression and exponentially weighed moving average calculated from daily monitor data are used to model the baseline carryover trend for the purpose of isolating tru tool variability. Using this method, we can easily quantify the long-term stability of each CDSEM, and with that, we are able to calculate the true long-term process variation Cp by subtracting the CDSEM variation component from the observed total Cp.
As fabs transition from 200 to 300mm wafers with shrinking design rules, the risk and cost associated with overlay excursions become more severe. This significantly impacts the overall litho-cell efficiency. Effective detection, identification, and reduction of overlay excursions are essential for realizing the productivity and cost benefits of the technology shifts. We have developed a comprehensive overlay excursion management method that encompasses baseline variation analysis, statistical separation and characterization of excursion signatures and their frequencies, as well as selection of sampling plans and control methods that minimize material at risk due to excursion. A novel baseline variance estimation method is developed that takes into account the spatial signature and temporal behavior of the litho-cell overlay correction mechanisms. Spatial and temporal excursion signatures are identified and incorporated in a cost model that estimates the material at risk in an excursion cycle. The material at risk associated with various sampling plans, control charts, and cycle times is assessed considering various lot disposition and routing decisions. These results are then used in determining an optimal sampling and control strategy for effective excursion management. In this paper, we describe and demonstrate the effectiveness of the methods using actual 300mm fab overlay data from several critical layers. With a thorough assessment of the actual baseline and excursion distributions, we quantify the amount of wafer-to-wafer and within-wafer sampling necessary for detecting excursions with minimal material at risk. We also evaluate the impact of shorter cycle time and faster response to excursion, which is made possible through automation and alternative metrology configurations.
We studied the effect of ArF resist shrinkage under electron bombardment during ebeam metrology and also the effect of resist shrinkage on the after etch CD. The traditional approach is to reduce the electron energy and dose to minimize resist shrinkage, often at the cost of reduced precision and image quality. We found that resist trimming by high-density plasma etcher (ion density about 1012cm-3) can improve the stability of resist under ebeam. Exposed to beams of 600V and 300V accelerating voltage, fresh photoresist CD shrinkage was reduced by ~70% and ~50% after resist trimming in the etcher. The effect of resist trimming is similar to that of e-beam curing. More interestingly, after etch and clean of the wafer, no difference in average CD value was found between area exposed to ebeam measurement and area that were not measured. This suggests that the resist trimming step in the normal etching process may overwhelm resist shrinkage effect caused by ebeam metrology. The implication is that the key selection criteria for stable ebeam metrology on ArF resist is a beam that produces consistent shrinkage, not minimum average shrinkage.
Three 4X test reticles for 0.15 - 0.18 micrometer lithography are characterized using a critical-dimension scanning electron microscope (CD-SEM). The reticles, which contain metrology cells of isolated and nested lines, are manufactured with an i-line (365 nm) laser patterning tool and wet etch (reticle A), an e-beam patterning tool and dry etch (reticle B), and an e-beam patterning tool and wet etch (reticle C). We investigate the nature of pattern density-induced CD variations by studying groups of metrology cells with systematically varying linewidths and line spacings. The CD bias between nested and isolated lines is a smoothly increasing function of pattern density on reticles B and C. The CD signatures on reticle B exhibit strong microloading and loading signatures related to the plasma etch process. The bias characteristic on reticle A demonstrates a threshold effect, increasing sharply as the space between adjacent nested lines becomes less than approximately 600 nm. Matching images taken with secondary and backscattered electron spectra support the conclusion that the laser write process is resolution-limited at this pattern density. The SEM data is also compared to data from broad-band optical metrology tools to show that the optical response for dense features becomes unreliable when the feature spacing is less than approximately 600 nm.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.