There is a growing interest in new spin on metal oxide hard mask materials for advanced patterning solutions both in BEOL and FEOL processing. Understanding how these materials respond to plasma conditions may create a competitive advantage. In this study patterning development was done for two challenging FEOL applications where the traditional Si based films were replaced by EMD spin on metal oxides, which acted as highly selective hard masks. The biggest advantage of metal oxide hard masks for advanced patterning lays in the process window improvement at lower or similar cost compared to other existing solutions.
Directed self-assembly (DSA) of block copolymers (BCP) is considered a promising patterning approach for the 7-nm node and beyond. Specifically, a graphoepitaxy process using a cylindrical phase BCP may offer an efficient solution for patterning randomly distributed contact holes with subresolution pitches, such as found in via and cut mask levels. In any graphoepitaxy process, the pattern density impacts the template fill (local BCP thickness inside the template) and may cause defects due to over- or underfilling of the template. In order to tackle this issue thoroughly, the parameters that determine template fill and the influence of template fill on the resulting pattern should be investigated. Using three process flow variations (with different template surface energy), template fill is experimentally characterized as a function of pattern density and film thickness. The impact of these parameters on template fill is highly dependent on the process flow, and thus prepattern surface energy. Template fill has a considerable effect on the pattern transfer of the DSA contact holes into the underlying layer. Higher fill levels give rise to smaller contact holes and worse critical dimension uniformity. These results are important for DSA-aware design and show that fill is a crucial parameter in graphoepitaxy DSA.
Metal oxide or metal nitride films are used as hard mask materials in semiconductor industry for patterning purposes due to their excellent etch resistances against the plasma etches. Chemical vapor deposition (CVD) or atomic layer deposition (ALD) techniques are usually used to deposit the metal containing materials on substrates or underlying films, which uses specialized equipment and can lead to high cost-of-ownership and low throughput. We have reported novel spin-on coatings that provide simple and cost effective method to generate metal oxide films possessing good etch selectivity and can be removed by chemical agents. In this paper, new spin-on Al oxide and Zr oxide hard mask formulations are reported. The new metal oxide formulations provide higher metal content compared to previously reported material of specific metal oxides under similar processing conditions. These metal oxide films demonstrate ultra-high etch selectivity and good pattern transfer capability. The cured films can be removed by various chemical agents such as developer, solvents or wet etchants/strippers commonly used in the fab environment. With high metal MHM material as an underlayer, the pattern transfer process is simplified by reducing the number of layers in the stack and the size of the nano structure is minimized by replacement of a thicker film ACL. Therefore, these novel AZ® spinon metal oxide hard mask materials can potentially be used to replace any CVD or ALD metal, metal oxide, metal nitride or spin-on silicon-containing hard mask films in 193 nm or EUV process.
Directed self-assembly (DSA) of block copolymers (BCP) is considered a promising patterning approach for the 7 nm node and beyond. Specifically, a grapho-epitaxy process using a cylindrical phase BCP may offer an efficient solution for patterning randomly distributed contact holes with sub-resolution pitches, such as found in via and cut mask levels. In any grapho-epitaxy process, the pattern density impacts the template fill (local BCP thickness inside the template) and may cause defects due to respectively over- or underfilling of the template. In order to tackle this issue thoroughly, the parameters that determine template fill and the influence of template fill on the resulting pattern should be investigated. In this work, using three process flow variations (with different template surface energy), template fill is experimentally characterized as a function of pattern density and film thickness. The impact of these parameters on template fill is highly dependent on the process flow, and thus pre-pattern surface energy. Template fill has a considerable effect on the pattern transfer of the DSA contact holes into the underlying layer. Higher fill levels give rise to smaller contact holes and worse critical dimension uniformity. These results are important towards DSA-aware design and show that fill is a crucial parameter in grapho-epitaxy DSA.
To extend directed self-assembly (DSA) of poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for higher resolution, placement accuracy and potentially improved pattern line edge roughness (LER), we have developed a next-generation material platform of organic high-χ block copolymers (“HC series”, AZEMBLYTM EXP PME-3000 series). The new material platform has a built-in orientation control mechanism which enables block copolymer domains to vertically selforient without topcoat/additive or delicate solvent vapor annealing. Furthermore, sub-10 nm lines and spaces (L/S) patterning by two major chemoepitaxy DSA, LiNe and SMARTTM processes, was successfully implemented on 12” wafer substrates by using the PME-3000 lamellar series. The results revealed that the new material platform is compatible with the existing PS-b-PMMA-based chemical prepatterns and standard protocols. We also introduced the built-in orientation control strategy to the conventional PS-b-PMMA system, producing a new generation of PS-b-PMMA materials with facile orientation control. The modified PS-b-PMMA (m-PS-b-PMMA) performed LiNe flow DSA yielding a comparable CD process window with improved LER/LWR/SWR after the L/S patterns were transferred into a Si substrate.
This manuscript shows the relationship between defectivity of a typical chemo-epitaxy sequence and the DSA-specific materials, namely the mat, the brush and the block co-polymer. We demonstrate that the density of assembly defects in a line-space DSA flow, namely the dislocations and 1-period bridges have a direct correlation to certain parameters in the synthesis sequence of these materials. The primary focus of this manuscript is on identifying, controlling and reproducing the defects-critical parameters in the block co-polymer synthesis process for a stable and low defect performance of DSA flows.
To extend scaling beyond poly(styrene-b-methyl methacrylate) (PS-b-PMMA) for directed self-assembly (DSA), high quality organic high-x block copolymers (HC series) were developed and applied to implementation of sub-10 nm L/S DSA. Lamellae-forming block copolymers (BCPs) of the HC series showed the ability to form vertically oriented polymer domains conveniently with the in-house PS-r-PMMA underlayers (AZEMBLY EXP NLD series) without the use of an additional topcoat. The orientation control was achieved with low bake temperatures (≤200 °C) and short bake times (≤5 min). Also, these process-friendly materials are compatible with existing 193i-based graphoepitaxy and chemoepitaxy DSA schemes. In addition, it is notable that 8.5 nm organic lamellae domains were amenable to pattern development by simple dry etch techniques. These successful demonstrations of high-x L/S DSA on 193i-defined guiding patterns and pattern development can offer a feasible route to access sub-10 nm node patterning technology.
This paper compares thermal shrink properties of contact holes and chemical shrink performance for 193 nm
lithography. Pitch dependence, shrink properties, contact hole circularity, sidewall roughness, and process window are
also discussed. Thermal flow process exhibited more pitch dependence than chemical shrink process. Thermal shrink
rate increased substantially at higher bake temperatures. Contact holes in defocused area shrunk non-evenly and DOF
deteriorated upon heating. In chemical shrink process, shrink rate was hardly influenced by mixing bake temperature,
contact holes from center focus to defocus area shrunk evenly preserving effective DOF and MEF became smaller at
smaller CD. Chemical shrink has clear advantages over thermal flow process and sub-70 nm contact holes were obtained with iso-dense overlap DOF 0.25 μm by optimizing resist formulations and process conditions. Application of shrink processes will pave the way for the next generation LSI production.
So far, there are still many unknown phenomena on the interface of RELACS/resist during mixing bake (MB) processing. Knowing the precise quantitative interaction of these phenomena is significantly important to understand RELACS coating in order to attain much finer contacts as well as spaces with conventional optical lithography. Furthermore, more clear understanding of acid diffusion about RELACS/resist provides us more explicit design concept to increase the shrinkage of RELACS coating for 193nm lithography. In this study, we studied the differences of acid diffusion characteristics between 248nm and 193nm chemically amplified resists with various thermal acid generators (TAGs) in aqueous polymer coating. The diffusion phenomenon from resist to aqueous polymer coating is strongly correlated to the intrinsic diffusion characteristics of both resists. This study also revealed that the quantitative structure properties of organosulfonic acids generated from TAGs affects on the diffusion phenomena from resist to RELACS coating.
The controllability of iso-dense bias generated by 193nm lithography was intensively studied with novel RELACS material. The shrinkage, shrinkage linearity, and shrinkage bias were considerably relied on MB temperature. It is the most powerful technology that changing of mixing bake (MB) temperature can control iso-dense bias. Furthermore, AZ Exp.R600 has several attractive advantages, which are able to improve LWS, LER, sidewall roughness of contact holes, surface roughness, and side lobe. Moreover, we have successfully developed a novel RELACS material to be applied for the patterning of sub-70nm contact hole.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.