KEYWORDS: Chemical mechanical planarization, Semiconducting wafers, Data modeling, Calibration, Dysprosium, Back end of line, Polishing, Design for manufacturing, Metals, Chemical reactions
The Chemical Mechanical Polishing (CMP) variation on a die is a function of the die’s location on the wafer. For example, the post CMP thickness and/or topography variations in the center die can be very different from those in the mid-radius and edge dies. Consequently, the number of CMP hotspots and the hotspot locations can vary from die-to-die on a given wafer. Most commercial CMP simulation tools in the Design for Manufacturing (DFM) field focus on predicting CMP topography and thicknesses for a single die on the patterned wafer, and do not have wafer level prediction capabilities. For CMP simulation to be more effective in process and layout optimization, CMP simulation tools should be able to accurately predict CMP performance at the feature-scale, within the die, and across the entire wafer. In this paper, we analyze CMP data from multiple dies on back end of line (BEOL) Cu interconnect levels and show the wafer level effects in a polishing process. We use the data to calibrate Cadence’s integrated wafer level and die level CMP models and use the calibrated models to predict the CMP pattern dependencies on multiple dies across a product chip wafer. Finally, we show a comparison of the simulation to measured data.
KEYWORDS: Chemical mechanical planarization, Copper, Metals, Systems modeling, Data modeling, Oxides, Calibration, Performance modeling, Back end of line, Process modeling
As we move to more advanced nodes, the number of Chemical Mechanical Polishing (CMP) steps in semiconductor processing is increasing rapidly. CMP is known to suffer from pattern dependent variation such as dishing, erosion, recess, etc., all of which can cause performance and yield issues. One such yield issue seen in back end of line (BEOL) Cu interconnect CMP processes is pooling. Pooling exists when there is uncleared bulk Cu and/or barrier residue remaining after final CMP step, leading to shorts between neighboring interconnect lines. To detect potential pooling locations on a given design, for a given CMP process, predictive CMP models are needed. Such models can also aid in CMP process and chip design optimizations. In this paper we discuss how a pattern dependent CMP effect that we call the “local neighborhood effect” causes large recesses that can lead to pooling in Cu interconnect CMP processes. We also discuss modeling this effect as part of an advanced predictive CMP modeling system and show how the resulting modeling system accurately predicts Cu pooling on several 14 nm designs.
KEYWORDS: Chemical mechanical planarization, Front end of line, Back end of line, 3D modeling, Design for manufacturing, Process modeling, Manufacturing, Process engineering, Transistors, Copper, Calibration, Oxides, Data modeling, Polishing
Chemical mechanical polishing (CMP) has been a critical enabling technology in shallow trench isolation (STI), which is used in current integrated circuit fabrication process to accomplish device isolation. Excessive dishing and erosion in STI CMP processes, however, create device yield concerns. This paper proposes characterization and modeling techniques to address a variety of concerns in STI CMP. In the past, majority of CMP publications have been addressed on interconnect layers in backend- of-line (BEOL) process. However, the number of CMP steps in front-end-of-line (FEOL) has been increasing in more advanced process techniques like 3D-FinFET and replacement metal gate, as a results incoming topography induced by FEOL CMP steps can no longer be ignored as the topography accumulates and stacks up across multiple CMP steps and eventually propagating to BEOL layers. In this paper, we first discuss how to characterize and model STI CMP process. Once STI CMP model is developed, it can be used for screening design and detect possible manufacturing weak spots. We also work with process engineering team to establish hotspot criteria in terms of oxide dishing and nitride loss.
As process technologies move from planar transistor to 3D transistor like FinFet and multi-gate, it is important to accurately predict topography in FEOL CMP processes. These incoming topographies when stacked up can have huge impact in BEOL copper processes, where copper pooling becomes catastrophic yield loss. A calibration methodology to characterize STI CMP step is developed as shown in Figure 1; moreover, this STI CMP model is validated from silicon data collected from product chips not used in calibration stage. Additionally, wafer experimental setup and metrology plan are instrumental to an accurate model with high predictive power.
After a model is generated, spec limits and threshold to establish hotspots criteria can be defined. Such definition requires working closely with foundry process engineering and integration team and reviewing past failure analysis (FA) to come up a reasonable metrics. Conventionally, a potential STI weak point can be found when nitride residues remains in the active region after nitride strip. Another source of STI hotspots occurs when nitride erosion is too much, and active region can suffer severe damage.
KEYWORDS: Chemical mechanical planarization, Manufacturing, Design for manufacturability, Semiconducting wafers, Silicon, Etching, Product engineering, Design for manufacturing, Data modeling, Calibration, Copper, Back end of line, Metals
As we move to advanced technology nodes, the requirements on within chip and across wafer planarity are becoming more demanding [1]. Also, the number of Chemical Mechanical Polishing (CMP) processes and steps used in microelectronic chip manufacturing is increasing rapidly, in an effort to meet the stringent planarity requirements [1]. However, the complex pattern dependencies inherent in CMP processes, and the cumulative nature of the topography generated by these processes make it challenging to meet the aforementioned stringent uniformity requirements for the variety of designs produced. Consequently, we expect to see an increased CMP and related hotspots on advanced node designs. Accurately detecting CMP and related hotspots (such as pooling, DOF hotspots, topography variation hotspots etc.) and providing guidelines to fix or prevent them is therefore critical for CMP process development, yield ramp up and shorter design and manufacturing cycles.
In this paper we present a hotspot detection and removal/prevention flow. The flow uses Cadence Design System’s manufacturing modeling methodology that predicts feature scale, within chip, and wafer level topography. The modeling methodology takes into account etch depth, deposition, and CMP variations across multiple levels in the design, and across multiple process steps within a given design level.
Traditional RC extraction flows mostly consider interconnect thickness variations caused by etch and CMP processes in a way of rule-based approach where a form of tables or polynomials is used. While such rulebased approaches are easily incorporated into design flows, they are not inevitably accurate since tablelook- ups in rules are inherently taking simple (mostly one dimensional) typed patterns. Moreover, rules fail to account for the length scale and cumulative effects in both etch and CMP, thereby making them less accurate compared to physics-based models. In this paper, we introduce a model-based CMP aware RC extraction flow that uses the results of thickness simulations from Cadence CMP modeling tools. We apply the proposed model-based CMP aware RC extraction flow to several blocks in a 16 nm design, and compare the results of the proposed model-based flow with those of a traditional rule-based RC extraction flow. This paper also notes that running the model-based flow in conjunction with the traditional rule-based flow should cover the full range of RC variation along critical nets, and ensure faster timing closure.
KEYWORDS: Chemical mechanical planarization, Metals, Copper, System on a chip, Design for manufacturing, Manufacturing, Dielectrics, Polishing, Device simulation, Logic
Traditionally model based CMP check and hotspot detection are only done at the top level of the design because full
chip assembly is required to capture CMP long range effect. When manufacturing hotspots are found just before tape out
and layout modification is required, this can disrupt the overall schedule by repeating the verification steps with the
changed layout. Hence getting feedback at early design stage is critical to ensure that the design is correct by
construction. In this paper, we present a model-based CMP-DFM methodology which is used at early design phases to
avoid CMP related manufacturing failures. An accurate CMP model has been developed and used to predict surface
topographies for 32nm designs as well as physical hotspots caused by dishing, erosion, and depth of focus. We
demonstrate how to apply a characterized 32nm CMP physical model to run block level simulation with little or no
context information. The block level simulation methodology allows designers to check block robustness against any
possible surrounding environments in which the block may be placed. This approach can be taken for corner case
analysis in CMP-aware RC extraction.
Chemical Mechanical Polishing (CMP) has been used in the manufacturing process for copper (Cu) damascene process.
It is well known that dishing and erosion occur during CMP process, and they strongly depend on metal density and line
width. The inherent thickness and topography variations become an increasing concern for today's designs running
through advanced process nodes (sub 65nm). Excessive thickness and topography variations can have major impacts on
chip yield and performance; as such they need to be accounted for during the design stage.
In this paper, we will demonstrate an accurate physics based CMP model and its application for CMP-related hotspot
detection. Model based checking capability is most useful to identify highly environment sensitive layouts that are prone
to early process window limitation and hence failure. Model based checking as opposed to rule based checking can
identify more accurately the weak points in a design and enable designers to provide improved layout for the areas with
highest leverage for manufacturability improvement. Further, CMP modeling has the ability to provide information on
interlevel effects such as copper puddling from underlying topography that cannot be captured in Design-for-
Manufacturing (DfM) recommended rules.
The model has been calibrated against the silicon produced with the 45nm process from Common Platform (IBMChartered-
Samsung) technology. It is one of the earliest 45nm CMP models available today. We will show that the
CMP-related hotspots can often occur around the spaces between analog macros and digital blocks in the SoC designs.
With the help of the CMP model-based prediction, the design, the dummy fill or the placement of the blocks can be
modified to improve planarity and eliminate CMP-related hotspots. The CMP model can be used to pass design
recommendations to designers to improve chip yield and performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.