This conference presentation was prepared for Photomask Japan 2022: XXVIII Symposium on Photomask and Next-Generation Lithography Mask Technology, 2022.
Improved lithography resolution provided by EUVL simplifies the patterning process and makes it possible to use less restrictive design rules. This in turn enables cost effective scaling with extendibility. There are several technical challenges and infrastructure gaps that need to be resolved to make EUVL suitable for high volume manufacturing (HVM). These gaps relate to development of a stable and reliable high power EUV source, EUV resist and EUV compatible photomask infrastructure. Realization of Actinic patterned mask inspection (APMI) capability is a critical component of the required Photomask infrastructure [1,2]. Most critical enabler of actinic patterned mask inspection technology/capability has been the EUV source. In this contribution, we will discuss key aspects of the developed High-Volume Manufacturing (HVM) worthy LPD EUV source for APMI. These include performance aspects such as brightness and spatial position stability of the EUV emission, dynamics of the EUV-emitting plasma and long-term stability of the source
Multi-beam mask writers (MBMW) manufactured by IMS Nanofabrication have been increasingly been accepted into mainstream mask making. Over the past decade, this new class of tools has successfully transitioned from the concept, to development and finally to the production phase. Significant technical challenges specific to the architecture were encountered and overcome. Many of these challenges are related to the large image size used by this writer. In this paper, we will review the motivation to develop this new class of writers and the key technical challenges which had to be overcome to realize lithographic promise. Current status and future opportunities to improve the architecture will be discussed.
With the persistent drive to enable EUV lithography (EUVL) for the continuation of pattern scaling and the close collaborations between suppliers and customers, tremendous progress has been made in the last five years in EUV mask infrastructure development. With the advent of actinic pattern mask inspection (APMI) tool, the only remaining EUV mask infrastructure gap until recently has been closed. We will present real-case examples from inspection of 7nm and 5nm logic node EUV masks with APMI in operation at Intel mask shop and demonstrate that actinic inspection provides defect detection capability beyond the traditional DUV optical and e-beam mask inspection (EBMI) tools for defect control and the guaranty of mask quality. In addition to the main focus on APMI and through-pellicle inspection in this paper, we also provide a brief discussion of other key EUV infrastructure modules for mask production in current EUVL at 0.33NA and future technology extension to enable high NA EUVL at 0.55NA.
Mask writers’ architectures have evolved through the years in response to ever tightening requirements for better resolution, tighter feature placement, improved CD control, and tolerable write time. The unprecedented extension of optical lithography and the myriad of Resolution Enhancement Techniques have tasked current mask writers with ever increasing shot count and higher dose, and therefore, increasing write time. Once again, we see the need for a transition to a new type of mask writer based on massively parallel architecture. These platforms offer a step function improvement in both dose and the ability to process massive amounts of data. The higher dose and almost unlimited appetite for edge corrections open new windows of opportunity to further push the envelope. These architectures are also naturally capable of producing curvilinear shapes, making the need to approximate a curve with multiple Manhattan shapes unnecessary.
Availability of defect-free masks is one of the most critical issues for enabling EUV lithography. Among others,
multilayer phase defects embedded in EUV blanks are primary concern because multilayer defects as low as 2nm are
expected to be printable. Therefore, thorough understanding of defect printability through experiments is anticipated
to derive critical sizes of multilayer defects. A test mask with programmed multilayer defects has been fabricated for
this purpose with various sizes of defects as well as various protrusion sizes of defects from the absorber lines. As the
first step toward rigorous printability prediction that can comprehend any arbitrary-shaped multilayer defects, line
defects parallel to absorber lines have been selected for printability experiments using micro exposure tool (MET) in
Lawrence Berkeley National Lab. for direct comparison with 2D simulation. The simulation of electro-magnetic field
with multilayer defects has been carried out using finite-element-method with triangular cells that well match the needs
for incorporation of minute change in multilayer profile as observed in a TEM photograph. The experiments have
proved that there is systematic correlation between the sizes of defect protrusion from beneath the absorber line with
printed line CD. The defect with protrusion size of 33nm on mask, however, did not show any evidence of defects
while aerial image simulation indicated there should be detectable difference in print results. Root cause investigation
for this gap indicated that the multilayer bump affected the absorber width and profile above and hence generated the
gap between experiments and simulation that assumed identical absorbers. Simulation with exact absorber shape input
well matched experimental results.
Mask substrate defects continue to be one of the critical issues for EUV technology development. Current
specifications call for allowable defects in the 25nm height range for production masks blanks. Simulations seem to
indicate that defects as small as 3nm high will cause printable defects on the wafer. To study the effects of small aspect defects on the printed image we undertook a study to look at 10nm height (mask
substrate size) defects. A mask was fabricated with 12nm height defects in arrays based on area. Defects of 110nm,
190nm, 300nm, and 1000nm were fabricated. Multi-layers were then deposited and the mask was patterned with
line/space patterns with pitches (mask size) of 600nm, 800nm, and 1000nm. Wafers were then exposed using a well characterized resist and the results were analyzed. All sizes of defects printed at
least once. The largest defect size (1000nm mask) caused multiple bridges to form while even the smallest defect size
(110nm mask) could cause a bridge on the 600nm (mask size) pitch.
MIRAI Project has developed a novel actinic (at-wavelength) inspection tool for detecting critical multilayer defects on EUV mask blanks using a dark-field imaging and a laser-produced plasma (LPP) light source. Characterization of this experimental actinic inspection tool is ongoing to define the detailed specification of a proto-type tool. One of the important factors which improve the sensitivity of the inspection tool is the suppression of background noise and the optimization of detective conditions to get a high intensity signal . In this paper, characterization results of background noise and through focus imaging are presented. The multi-coated layer roughness-induced scattering noise which is a main factor of background noise is in proportion to the square of high and mid intermediate range roughness. The background level is expected to be suppressed to about two-thirds of an ordinary level, by improvement of multi-coated layer blank making. To inspect various defects with high sensitivity, through focus characteristics on various programmed defects with dot, hole, line, groove shapes is examined. Best focus in which a maximum defect signal is obtained is different between pattern types, especially hole and dot, and a common focus level through various small patterns can not be secured. Signal-to-background ratio (SBR) we proposed1 is a good parameter for defect detection because it has a wide focus latitude and it is possible to detect both small hole and dot defects with a common focus level.
Back-illuminated charge-coupled devices (BI-CCD) have been characterized for the application of actinic EUVL mask blank inspection. Point spread function (PSF) of a BI-CCD is analyzed by extracting the EUV-emulating events from photon counting images of 55Fe exposure experiments. The spread of the PSF is found to be reduced by applying higher voltage to extend the depletion layer, which is consistent with the physical model of the electron diffusion in the backside region. High speed capability of the most promising BI-CCD is also tested to investigate its applicability to production-worthy high throughput inspection tools. It has been demonstrated that a clear image can be obtained at 5.6MHz clock speed which translates into the throughput of 2 hours per blank using 26x imaging optics. The readout noise at this clock speed, however, has turned out to be extremely degraded than is required to keep the required sensitivity. Potential approaches to overcome sensitivity degradation caused by the increase in the readout noise are discussed.
Actinic (at-wavelength) inspection of EUV mask blanks using a dark-field imaging proved a high sensitivity for detecting multilayer defects through detecting programmed phase defects accurate to 70nm in width and 2nm in height without any detection of false defects. Characterization of the experimental actinic inspection tool is ongoing to find the ultimate sensitivity of this tool to define the detailed specification of a proto-type tool. In this paper, we present a detailed analysis of the defect signal intensity compared with AFM measurements taken from more than 20 programmed phase defects. This analysis proved that the signal intensity is mainly correlated with the volume of bumps whose sizes range from 2.8nm to 6.0nm in height and from 59nm to 86nm in width. The correlation suggests that the intensity variation within the group of the same design size reflects the actual variation of the defect size. Some natural defects other than programmed defects are also detected. The comparison of the defect signal and AFM analysis suggests that one of the detected defects is not a pure phase defect but more like an amplitude defect. The smallest natural defect had a surface height as low as 1.5nm, which can only be detected by lowering the detection threshold to the level with some statistically expected false defect counts. Current efforts to improve its detection capability while minimizing false defect detection are also discussed.
We proposed an actinic (at-wavelength) EUV mask blank inspection method providing a printable phase-defect detection capability within the whole area of the mask blanks in an allowable inspection time. The inspection tool based on our method consists of optics for illuminating a mask blank with an EUV light, a mask blank stage, Schwarzschild optics for dark-field imaging, and a CCD camera. Phase-defect detection experiments were performed using a 10 Hz LPP source and with 0.2 NA imaging optics with the center obscuration NA of 0.1. Two-dimensional dark field image signal of 0.5 mmX0.5 mm area was captured by the CCD camera with 1M pixels and the phase-defects with the size down to 70 nm were successfully detected. In addition, a programmed phase defect and natural defect with 2 nm height were clearly detected. Inspection time including image capture and data processing for 0.5 mmX0.5 mm area was approximately 2 second. This is equivalent to an inspection time of 800 seconds/cm2. Inspection speed will increase by more than 10 times when combined with high power light source and high speed data acquisition. Although further optimization is needed, possibility of actinic inspection of EUV mask blanks within a practical inspection time has been demonstrated.
MIRAI Project has developed a novel actinic (at-wavelength) inspection tool for detecting critical multilayer defects on EUV mask blanks using a dark-field imaging and a laser-produced plasma (LPP) light source. The first milestone of proof-of-concept was successfully achieved by demonstration of programmed defect detection accurate to 70nm in width and 2nm in height without any detection of false defects. Characterization of this experimental actinic inspection tool is ongoing to define the detailed specification of a proto-type tool. One of the important factors that define the sensitivity of the inspection tool is the signal to noise ratio available from the inspection image. In this paper, characterization results of background fluctuation and through focus imaging are presented. The characterization of background fluctuation suggested that the pixel-to-pixel fluctuation by spatial fluctuation of roughness is smaller than originally assumed possibly because of the smoothing by the aberration of the imaging optics. The negative impact of the degradation of defect signal by the aberration at the best focus is relaxed due to the smoothed fluctuation of the background intensity.
The development of defect-free mask blanks including inspection is one of the big challenges for the implementation of
extreme ultraviolet lithography (EUVL), especially when the introduction of EUVL is rescheduled to a later technology
node. Among others, inspection of multilayer coated mask blanks with no oversight of critical defects and with
minimal detection of false defects is a challenging issue for providing mask blanks free of defects or with thorough
characterization of any existing defects. MIRAI Project has been developing a novel actinic (at-wavelength)
inspection tool for detecting critical multilayer defects using a dark-field imaging and a laser-produced plasma (LPP)
light source, expecting better sensitivity and better correlation with printability. The first experimental set up is
completed for proof-of-concept (POC) demonstration using 20x Schwarzschild imaging optics and a backsideilluminated
CCD. An in-house LPP light source is integrated to optimally illuminate the area of interest by EUV with
a wavelength of 13.5nm. For its illuminator, a multilayer-coated elliptical mirror is used to illuminate a mask blank
with the EUV that is collected within a wide solid angle from the light source. The first EUV dark-field image is
obtained from a mask blank with programmed multilayer defects which are manufactured by locating well-defined
patterns before depositing Mo/Si multilayer on EUV mask substrate. All the fabricated multilayer defects down to
70nm in width and 3.5nm in height are detected as clear signals that are distinguishable from the background intensity
arising from the scattering by the surface roughness of the multilayer-coated mask blank. We have also detected a
phase defect as low as 2nm in height. False defect count was not only zero within the area of view but also statistically
confirmed to be less than one within the whole area of a mask blank assuming the extrapolation of observed fluctuation
of background intensity is applicable. EUV pulse energy measurements and a CCD speed scaling suggested that the
inspection throughput of 2 hours per mask blank will be feasible. The actinic tool based on this scheme will, not only
serve for benchmarking with non-actinic tools or support multilayer deposition process improvements, but also be a
viable choice for qualification of premium EUV mask blanks.
New configuration is presented for ultra-fast at-wavelength inspection of defects on multilayer mask blanks. Key ideas are detecting defects in a high NA dark-field observation by using a Schwarzschild objective, sub-micron resolution 2D imaging of mask surface on a detector, and large etendue illumination by using a laser-plasma source. Expected time for inspecting a whole mask is shorter than 2 hours.
A novel actinic (at-wavelength) inspection tool for detecting critical phase defects in extreme ultraviolet (EUV) multilayer-coated mask blanks is designed and being developed. Block inspection by actinic dark field imaging using a combination of Schwarzschild optics, a CCD, and a laser-produced plasma (LPP) light source is employed to improve detection sensitivity while keeping decent throughput. To optimally configure optics and a mask blank within currently available options, EUV scattering from both Gaussian-shaped multilayer defects and surface roughness is simulated. Intensity of the roughness-induced scattering into the imaging optics is computed assuming 0.15nm root-mean-square (RMS) of the multilayer surface roughness with a typical power spectral density (PSD) function. Possible configuration candidates are compared in detail to detect phase defects with its size down to 30nm. We have modeled noise intensity based on the optimum configuration by integrating shot noise and spatial fluctuation of roughness. Pixel-to-pixel fluctuation of background intensity due to spatial roughness variation is studied using random fractal model to be built into the total noise model. Under the criteria of minimizing nuisance defects and maximizing capture rate of real defects, the required specifications for the power and the brilliance of the light source are computed and discussed.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.