A multifunctional hardmask neutral layer (HM NL) was developed to improve etch resistance capabilities, enhance reflectance control, and match the surface energy properties required for polystyrene block copolymers (PS-b-PMMA). This HM NL minimizes the number of substrate deposition steps required in graphoepitaxy directed self-assembly (DSA) process flows. A separate brush layer is replaced by incorporating neutral layer properties into the hardmask to achieve microphase separation of BCP during thermal annealing. The reflection control and etch resistance capabilities are inherent in the chemical composition, thus eliminating the need for separate thin film layers to address absorbance and etch criteria. We initially demonstrated successful implementation of the HM NL using conventional PS-b-PMMA. A series of BCP formulations were synthesized with L0 values ranging from 28 nm to 17 nm to test the versatility of the HM NL. Quality “fingerprint” patterns or microphase separation using 230°-250°C annealing for 3-5 minutes was achieved for an array of modified BCP materials. The HM NL had water contact angles at 78°-80° and polarities in the 5-6 dyne/cm range. The scope of BCP platform compositions evaluated consists of a 20° water contact angle variance and a 10-dyne/cm range in polarities. All BCP derivatives were coated directly onto the HM NL followed by thermal annealing followed by SEM analysis for effective “fingerprint” patterns. We offer a simplified alternative path for high etch resistance in a graphoepitaxy DSA flow employing a single-layer hardmask for etch resistance demonstrated to be compatible with diverse BCP-modified chemical formulations.
KEYWORDS: System on a chip, Etching, Reactive ion etching, Scanning electron microscopy, Photoresist materials, Semiconducting wafers, Carbon, Resistance, Lithography, Silicon
Spin-on carbon (SOC) materials play an important role in the multilayer lithography scheme for the mass production of advanced semiconductor devices. One of the SOC’s key roles in the multilayer process (photoresist, silicon-containing hardmask, SOC) is the reactive ion etch (RIE) for pattern transfer into the substrate. As aspect ratios of the SOC material increase and feature sizes decrease, the pattern transfer from SOC to substrate by a fluorine-containing RIE induces severe pattern deformation (“wiggling”), which ultimately prevents successful pattern transfer into the substrate. One process that reduces line wiggling is a high-temperature (>250°C) post-application bake of the SOC material. In this study, we developed a process for evaluating SOC materials with respect to their pattern transfer performance. This process allowed us to evaluate line-wiggling behavior with several SOC materials at lower bake temperatures. This paper will discuss novel materials design in relation to high-aspect-ratio SOC layers and wiggling resistance.
Micro-phase separation for directed self-assembly (DSA) can be executed successfully only when
the substrate surface on which the block co-polymer (BCP) is coated has properties that are ideal for
attraction to each polymer type. The neutral underlayer (NUL) is an essential and critical component in
DSA feasibility. Properties conducive for BCP patterning are primarily dependent on “brush” or
“crosslinked” random co-polymer underlayers. Most DSA flows also require a lithography step (reflection
control) and pattern transfer schemes at the end of the patterning process. A novel multifunctional
hardmask neutral layer (HM NL) was developed to provide reflection control, surface energy matching,
and pattern transfer capabilities in a grapho-epitaxy DSA process flow. It was found that the ideal surface
energy for the HM NL is in the range of 38-45 dyn/cm. The robustness of the HM NL against exposure to
process solvents and developers was identified. Process characteristics of the BCP (thickness, bake time
and temperature) on the HM NL were defined. Using the HM NL instead of three distinct layers – bottom
anti-reflective coating (BARC) and neutral and hardmask layers – in DSA line-space pitch tripling and
contact hole shrinking processes was demonstrated. Finally, the capability of the HM NL to transfer a
pattern into a 100-nm spin-on carbon (SOC) layer was shown.
Measuring coating defects on two or more blanket film layers is difficult and can be misleading due to reflectivity
changes from the bottom layer, and surface roughness not present when the substrate is only polished silicon. To
improve signal-to-noise ratio and establish a lower limit for particle size detection, polystyrene latex (PSL) spheres are
deposited on the film stack. Particles as small as 54 nm were detectable on a stack 330-nm thick using a Hitachi LS
Series Surface Scanning Inspection System (SSIS) and RS5500 Defect Review Scanning Electron Microscope
(DRSEM). These systems have advanced capabilities enabling automated detection, classification, and characterization
of defects down to 30 nm or smaller on some substrates and films. Haze wafer maps are related to surface roughness and
reflectivity and show unusual asymmetries possibly caused by dispense problems or exhaust flow patterns during baking.
These maps can be helpful to find problems in the coating system, even if film thickness is on target. Preliminary testing
results are presented for a typical trilayer pattern stack for high-resolution 193-nm patterning consisting of a silicon spinon
hardmask (HM) layer on top of a spin-on carbon (SOC) layer. The majority of the defects were caused by bubble
formation within the HM that was modulated by process conditions used for these tests. A higher spin speed for the HM
coating produced lower defects, most likely due to a thinner film with less trapped solvent during baking, but this effect
will require more study, as it could also be due to a faster evaporation rate caused by higher airflow. Pre-wet, spin time,
and bake temperature did not produce significant effects within these tests, but showed trends requiring further study.
These advanced spin-on HM materials can be applied as thin as 15 to 20 nm due to their high etch selectivity. With the use of such high-resolution defect metrology, very subtle chemical interactions and process effects can be examined to find the ideal process conditions for both the SOC and HM layers.
This paper presents robust trilayer lithography technology for cutting-edge IC fabrication and double-patterning
applications. The goal is to reduce the thickness of a silicon hardmask so that the minimum thickness of the
photoresist is not limited by the etch budget and can be optimized for lithography performance. Successful results
of pattern etching through a 300-nm carbon layer are presented to prove that a 13.5-nm silicon hardmask is thick
enough to transfer the line pattern. Another highlight of this work is the use of a simulation tool to design the stack
so that UV light is concentrated at the bottom of the trenches. This design helps to clear the resist in the trenches
and prevent resist top loss. An experiment was designed to validate the assumption with 45-nm dense lines at
various exposure doses, using an Exitech MS-193i immersion microstepper (NA = 1.3) at the SEMATECH Resist
Test Center. Results show that such a stack design obtains very wide CD processing window and is robust for 1:3
line patterning at the diffraction limit, as well as for patterning small contact holes.
The 45-nm node will require the use of thinner photoresists, which necessitates the use of multilayer pattern transfer
schemes. One common multilayer approach is the use of a silicon-rich anti-reflective hardmask (Si BARC) with a
carbon-rich pattern transfer underlayer (spin-on carbon, or SOC). The combination of the two layers provides a highly
planar platform for a thin resist, and provides a route to etch substrates due to the alternating plasma etch selectivities of
the organic resist, inorganic Si BARC, and organic SOC. Yet such schemes will need to be optimized both for pattern
transfer and optics. Optimizing optics under hyper-NA immersion conditions is more complicated than with standard
(that is, NA<1) lithography. A rigorous calculation technique is used to evaluate and compare standard lithography to a
hyper-NA case using a multilayer stack. An example of such a stack is shown to have reasonable lithographic
performance.
Thermally curable hybrid high refractive index polymer solutions have been developed. These solutions are stable up to 6 months under room temperature storage conditions and can be easily spin-coated onto a desired substrate. When cured at elevated temperature, the hybrid polymer coating decomposes to form a metal oxide-rich film that has a high refractive index. The resulting films have refractive indices higher than 1.90 in the entire visible region and achieve film thicknesses of 300-900 nm depending on the level of metal oxide loading, cure temperature being used, and number of coatings. The formed films show greater than 90% internal transmission in the visible wavelength (400-700 nm). These hybrid high refractive index films are mechanically robust, are stable upon exposure to both heat and UV radiation, and are currently being investigated for microlithographic patterning potential.
A series of soluble, fully aromatic polyetherimides were prepared as candidate materials for optical coating applications. Most of the new polymer coatings possessed high transparency in the optical and near-infrared spectral regions at thicknesses ranging from 1 to 10 microns. The refractive indices obtained ranged from 1.60 to 1.80 at visible wavelengths, with the highest values generally being obtained near 400 nm followed by a gentle decline as wavelength increased to 700 nm and beyond. The refractive index values could be controlled by varying the dianhydride and diamine composition. All of the polyimides showed good thermal stability to 400°C and displayed glass transition temperatures above 220°C, making them excellent candidates for device applications where increased refractive index and high optical clarity are desired. The paper will discuss the preparation and physical and optical properties of the polymers and compare them to other high index coating systems.
The performance of many solid-state devices including emissive displays, optical sensors, integrated optical circuits, and light-emitting diodes can be improved by applying a transparent high refractive index coating (≥ 1.65) onto the light-emitting or light-sensing portion of the device. Ideally, the coating should combine the excellent durability and easy deposition of a spin-applied polymer coating with the high refractive index and optical clarity of a vacuum deposited metal oxide coating such as titanium dioxide or zirconium oxide. While some success has been achieved in combining these very dissimilar materials to form transparent hybrid coating systems, for example, using sol-gel or nanoparticle dispersion techniques, the resulting coating systems often require complicated manufacturing schemes and have limited storage stability and reliability.
We have demonstrated two new approaches to development of high refractive index polymer coatings. In the first approach, an organometallic polymer and a conventional organic polymer are combined to form a compatible coating. When cured at elevated temperatures, the organometallic polymer decomposes to form a highly dispersed metal oxide phase that imparts high index properties to the final hybrid coating. The new coatings are transparent and have
refractive indices ranging from 1.6 to as high as 1.9 depending on the metal oxide content.
The second approach utilizes our discovery that polyimide materials possess naturally high refractive indices in comparison to most polymer materials. Through careful molecular design, we have developed a new class of polyimide materials having refractive indices ranging from 1.60 to 1.78 at visible wavelengths and exhibiting excellent optical clarity. The new polyimides can be spin-applied to a layer thickness of more than 10 microns in a single coating step and form thermally stable films with good mechanical strength and adhesion to device substrates.
With the increasing drive towards smaller feature sizes in integrated circuits and the consequent use of shorter exposure wavelengths, the imaging resist layer and underlying bottom anti-reflective coating (BARC) layer are becoming thinner. At this scale, the performance of chemically amplified resists can be adversely affected by the BARC-resist interfacial interactions. These interactions can cause distortion of resist profiles and lead to footing, undercut, or pattern collapse. BARC components can immensely influence the deprotection and dissolution properties of the resist. A thorough understanding of the physico-chemical interactions at these interfaces is essential to design and develop new material platforms with minimal adverse interactions and maximum compatibility between BARC and resist. Results are reported from studies of (A) surface versus bulk chemistry of BARC materials as a function of cure temperature, (B) the dependence of the thickness and composition of the residual layer (resist material remaining on the surface of the BARC after development) on BARC components, as determined by formulating the BARC or resist with an excess of various BARC components, and (C) the dependence of the residual layer thickness on crosslink density, exposure does, and resist bake temperature. The BARC thin films and the interphase between BARC and resist were characterized with near edge x-ray absorption fine structure (NEXAFS) spectroscopy. Surface chemical properties of BARC films were derived from contact angle measurements of various liquids on these thin films. Preliminary results from these studies indicate that some BARC components may migrate to the BARC-resist interphase and act as dissolution inhibitors. Similarly, small molecule additives in the resist may migrate into the BARC layer, causing chemical modifications.
Among the variety of dual damascene (DD) processes, the via- first approach has drawn much attention because of its reduced process steps and improved photolithography process window. The via-first process requires a layer of via-fill material to be applied beneath the photoresist layer. The primary function of this via-fill materials is to act as an etch-block at the base of the vias to prevent over-etching and punch-through of the bottom barrier layer during the trench-etch process. However, such materials also help to planarize the substrate and may limit back reflection from the substrate as well, helping to control the critical dimension (CD) of the printed features. Based on this understanding, our research efforts have been focused on the advancement of DD-applicable bottom antireflective coatings (BARCs). A series of novel planarizing DUV BARCs with full- via-fill properties and enhanced etching selectivity to resists have been developed. They showed good full-fill, void-free performance in 0.20micrometers vias having an aspect ratio of five, also sufficient top coverage i.e., enough coating thickness, low surface variation, and little thickness bias of isolated-via (1:10) area versus dense-via (1:1) area. The resist sidewall profiles with features sizes less than 0.20micrometers indicated that there was good compatibility of the BARCs with the resists. The thin film etching selectivity to commercial resists was about 1.2:1 under an Hbr/O2 atmosphere. A study of the BARCs described in this report allows further discussion of the impact of pattern density, feature size, and processing conditions on BARC coating performance.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.