Circuit designs are becoming denser and more complex in advanced semiconductor process technologies. The foundry process windows are becoming smaller and smaller which increases sensitivity to wafer surface defects. These defects should be detected early to resolve the root causes and eventually help to improve the yield. Wafer defects are still often inspected manually while the defect counts can reach into the millions. It takes a long time to analyze and review the results while the identification of the root causes may be less accurate and buried in noise. In this paper, UMC advance research teams, in collaboration with the Cadence DFM team, utilized the Pegasus Computational Pattern Analytics (CPA) software to develop an enhanced inspection flow. This flow includes defect data preprocessing, classification, filtering, and reduction of huge data volumes to create visible and easy to review results. By finding more accurate root causes, we could reduce process develop time and finally improve wafer yields.
Design weak points, or “hotspots” remain a leading issue in advanced lithography. These often lead to unexpected critical dimension (CD) behavior, degradation of process window and ultimately impact wafer yield. Industry technology development focus on hotspot detection has included full chip lithography simulation and machine learning-based hotspot analysis. Most recently, the machine learning approach is gaining attention because it is faster and more practical than lithography simulation-based hotspot detection. The machine learning case is a feedback approach based on previous known design hotspots. Conversely, the simulation method has the benefit of proactively detecting hotspots in a new design regardless of historical data. However, full chip simulation requires resources in calculating time, computing power and additional time-to-market that render it impractical in some scenarios. As design rules shrink, advanced mask designs have significantly increased in complexity due to Resolution Enhancement Techniques (RET) such as Source Mask Optimization (SMO), advanced Optical Proximity Correction (OPC) and high transmission attenuating mask films. This complicates hotspot detection by existing OPC verification tools or rigorous lithographic simulation with wafer resist model. These resultant complex mask geometries make OPC optimization and hotspot detection using post design very difficult. In this paper, we will demonstrate the limitation of traditional hotspot detection technology. Typical OPC tools use simple techniques such as single Gaussian approximations on the design, such as corner rounding, to take the mask process impact to the geometry into account. We will introduce a practical lithography hotspot identification method using mask process model. Mask model-based hotspot detection will be used to precisely identify lithography hotspots and will provide the information needed to improve hotspots’ lithographic performance.
In 14nm process critical layer, the weak pattern due to complex pattern designs will be revised aggressively by (OPC) Optical Proximity Correction. Therefore, the optical properties of these patterns will be extremely unstable. (Ex. High MEEF or Low contrast) In this circumstance, the mask process variation will impact the distribution of optical intensity for weak patterns quite considerably. In order to reduce the impact of the mask process variation, we add MPC (Mask process proximity correction) technique. Revising the mask process of ideal OPC masks again can make the result of masks meet our expectations better. In the paper, we show the comparison between the weak pattern of high MEEF with MPC and Non-MPC. We not only compare the optical behavior with the SEM Contour but also compare the variation of the real wafer process window. By means of the method in this paper, using MPC technique can definitely reduce the impact of the mask process variation and improve lithographic performance for weak pattern.
In the High NA process, pattern environment will become very aggressive because of scattering effect. Especially on metal layers, maybe it will cause pattern bridge when the pattern density is varied. We need to find out the root cause and have a good solution to minimize the wafer CD difference that comes from environmental effect (pattern density). In this paper, we analyze the root cause by checking the pattern density influence on mask CD and wafer printing CD. We design different pattern density layout to measure the mask CD error, use AIMS (Aerial Image Measurement System) to measure the aerial image CD and print wafer to check the real result. Then, we try to add some assistant feature (pattern density balance) and use simulation tool to simulate whether this method can have improvement.
As semiconductor go to smaller node, the critical dimension (CD) of process become more and more small. For
lithography, RET (Resolution Enhancement Technology) applications can be used for wafer printing of smaller CD/pitch
on 28nm node and beyond. SMO (Source Mask Optimization), DPT (Double Patterning Technology) and SADP
(Self-Align Double Patterning) can provide lower k1 value for lithography. In another way, image placement error and
overlay control also become more and more important for smaller chip size (advanced node). Mask registration (image
placement error) and mask overlay are important factors to affect wafer overlay control/performance especially for DPT or
SADP.
In traditional method, the designed registration marks (cross type, square type) with larger CD were put into scribe-line
of mask frame for registration and overlay measurement. However, these patterns are far way from real patterns. It does not
show the registration of real pattern directly and is not a convincing method. In this study, the in-die (in-chip) registration
measurement is introduced. We extract the dummy patterns that are close to main pattern from post-OPC (Optical
Proximity Correction) gds by our desired rule and choose the patterns that distribute over whole mask uniformly. The
convergence test shows 100 points measurement has a reliable result.
In advanced 20nm and below technology nodes, the mask enhanced error factor (MEEF) plays an important rule due to the request of stable process control and quality of mask manufacture. It provides us an effective parameter to analyze the process window for lithography. In advanced nodes, MEEF criterion becomes more important than previous nodes because very tight process tolerance is requested, especially in OPC and mask capability control. Therefore, we have to do further studies on this topic. In the simple line/trench design layers (for example: Active and poly), the MEEF is easy to be defined because mask bias is isotropic. However, in the complicated two-dimensional (2D) design layers (for example: Contact and Mvia), they are hard to be defined a suitable definition of MEEF. In the first part, we used the global bias to calculate the MEEF on all patterns. It makes calculation easier to compare with other patterns which are different shapes. However, when we inspected the 2D line-end patterns on the wafer, we found the significant differences between the MEEF of wafer data and aerial simulation. In order to clarify this issue, we perform series simulation studies of the line-end MEEF. Then we knew that it came from the different bias strategies. Furthermore, the simulation studies show that the line-end MEEF of non-preferable orientation is very sensitive to mask X/Y ratio bias due to strong OAI optical behavior by the SMO source. As a result, a new point of view of 2D MEEF is suggested according to physical mask CD error measurement data. In this study, we would find a better description of the MEEF than traditional one for lithographic process development on 2D region.
The k1 factor continues to be driven downwards; the Extreme Ultra Violet Lithography (EUVL) should be a powerful solution for 2xnm node. But, EUVL is not ready for 2xnm node manufacturing currently. Therefore, we must extend ArF immersion capability on 2xnm devices. In order to enable the features/patterns of 20nm node and beyond, Mask Error Enhancement Factor (MEEF) and Depth of Focus (DoF) play an important role for continuing shrinking designs in the low-k1 lithography. Lithography optimization by RET (Resolution Enhancement Techniques) application is essential to obtain a usable process window (PW). SMO (Source Mask Optimization) [1] is a RET solution for better total process window improvement on 20nm node and beyond. Using these concern patterns of design rule, the optimal source with optical balance would be generated. The wafer result by using the optimal source need to be checked and compare with simulation result. In this paper, we will introduce how to use SMO in Cont lithography process development on 20nm node. The SMO of pattern split with PTD (Positive Tone Develop), single exposure with PTD (Positive Tone Develop) and single exposure of NTD (Negative Tone Develop) had been studied. Pattern split with PTD can provide an enough process window. But, it suffers overlay control and process cost issue. Single exposure is a good solution to fix overlay control and process cost. But it suffers low process window. Hence, single exposure with PTD is another choice to improve the process window. Base on our study, NTD SMO has better performance (DoF: ~20 increase, MEEF: ~10 decrease) than PTD SMO on single exposure process. The detail result will be shown in this paper.
Influence of the mask error becomes serious because of the shrinkage of device pitch. The impact of mask line width
roughness (LWR) on wafer CD needs to be studied on advanced node, because the device performance of semiconductor
will be impacted seriously by wafer LWR/LER (line edge roughness). The Gate line width variation is a critical issue on
advanced nodes.
In this paper, we evaluate the LWR relationship between mask and wafer. We start the mask and wafer LWR study by
simulation (aerial image model, and resist model) to see whether simulation meets optical theory. Besides, we also
confirm the wafer printing result to compare simulation and wafer performance. Based on our study, simulation and
wafer data show that the mask LWR has no obvious impact on wafer LWR even if on EUV (13.5nm wavelength)
process.
As semiconductor process technology moves to smaller dimension, RET (resolution enhancement technology)
becomes more and more important, especially in low k1 processes. From 28nm node to 20nm node, the k1 becomes
smaller with smaller dimension and pitch because exposure tool can provide larger NA (numerical aperture) or smaller
exposure wavelength. SMO (source mask optimization) is a RET solution for low k1 process and provide better
lithographic common process window in single exposure technology.
Base on our studies of aerial image simulation and real wafer experiments on 20nm node, SMO could provide a better
solution for 20nm node with 1.35 NA and 193nm exposure wavelength than the other RET sources (Quasar, C-Quad.,
Dipole).
In the first step, the concerned patterns are important for the optimization because the main purpose of SMO is to
obtain better performance in those. Through SMO iteration, we can find out a better source for our design rule and
concerned patterns (like SRAM, and Small Island patterns). Then, we evaluate whether the simulation results can
provide enough accuracy from real wafer data. Base on this study, we can develop a suitable SMO process for 20nm
node.
In this paper, we will show the optical theory, simulation result and wafer performance of SMO technology.
The mask error budget continues to shrink with device pitch. In advance node, mask error enhancement factor (MEEF)
will increases up to over 4. The impact of assistant feature size on main feature CD variation becomes more obvious than
before. Generally, sub-resolution assist feature (SRAF) use is an indispensable technique to provide adequate depth of
focus (DOF) for larger pitches on layers with lithography settings that are optimized for denser pitches. But, SRAF width
will be critical issue with shrinking design rule. We investigated the impact of the assist feature size on through pitch
patterns. Using M3D (mask 3Dimension) model of Prolith simulation tool, we simulate the main pattern variation by
adjusting assistant feature size [1]. SRAF printability also be concerned through simulation and be verified by real wafer
printing result. We show that the wafer CD impact that come form mask CD variation of main feature and the influence
of assistant feature size on dense main feature become more and more.
As technology move forward, the layer-to-layer overlay requirement becomes a serious challenge on wafer process. It
also causes more difficult overlay control on mask process. Hence, the mask image placement has been required tighter
and tighter.
Currently, most of mask houses measure image placement before pellicle mounting. However, foundries always
exposes wafer by post pellicle mask to avoid particle falling on image plane to cause defects on wafer. The mask image
placement before pellicle mounting can't fully represent the real mask image placement during wafer process. Therefore,
we need to evaluate the image influence of image placement on the mask after pellicle mounting.
Some testing was checked on our production masks. We find that the image placement is difference between post
pellicle and before pellicle. It means that the registration had been changed after pellicle mounting. The result outstrips
our suspect. Therefore, reducing the influence of image placement after pellicle mounting becomes more and more
important. We found that the image placement of through pellicle should be impacted by some factors. We suppose that
these factors should be pellicle frame related. We cooperate with mask vendor (HOYA) to evaluate these factors and
reveal the improvement result in this paper. Finally, we improve around 50% image placement difference between post
pellicle and before pellicle.
Mask effect will be more sensitive for wafer printing in high-end technology. For advance only using current wafer
model can not predict real wafer behavior accurately because it do not concern real mask performance (CD error, corner
rounding..).
Generally, we use wafer model to check whether our OPC results can satisfy our requirements (CD target). Through
simulation on post-OPC patterns by using wafer model, we can check whether these post-OPC patterns can meet our
target. Hence, accuracy model can help us to predict real wafer printing results and avoid OPC verification error.
To Improve simulation verification accuracy at wafer level and decrease false alarm. We must consider mask effect
like corner rounding and line-end shortening...etc in high-end mask. UMC (United Microelectronics Corporation) has
cooperated with Brion and DNP to evaluate whether the wafer LMC (Lithography Manufacturability Check) (Brion hot
spots prediction by simulation contour) accuracy can be improved by adding mask model into LMC verification
procedure. We combine mask model (DNP provide 45nm node Poly mask model) and wafer model (UMC provide 45nm
node Poly wafer model) then build up a new model that called M-FEM (Mask Focus Energy Matrix model) (Brion
fitting M-FEM model). We compare the hotspots prediction between M-FEM model and baseline wafer model by LMC
verification. Some different hotspots between two models were found. We evaluate whether the hotspots of M-FEM is
more close to wafer printing results.
In the ever-changing semiconductor industry, wafer fabs and mask shops alike are adding low
cost of ownership (CoO) to the list of requirements for inspections tools. KLA-Tencor has
developed and introduced STARlight2+ (SL2+) to satisfy this need. This new software
algorithm is available on all TeraScanHR and TeraFab models. KLA-Tencor has cooperated
with United Microelectronics Corporation (UMC) to demonstrate and improve SL2+, including
its ability to lower CoO, on 65nm and below photomasks.
These improvements are built on the rich history of STARlight. Over the years, STARlight has
become one of the industry standards for reticle inspection. Like its predecessors, SL2+ uses
only transmitted and reflected light images from a reticle to identify defects on the reticle. These
images along with plate-specific information are then processed by SL2+ to generate reference
images of how the patterns on the reticle should appear. These reference images are then
compared with the initial optical images to identify the defects.
The new and improved SL2+ generates more accurate reference images. These images reduce
background noise and increase the usable sensitivity. With the results from controlled
engineering tests, a fab or mask shop can then decide to inspect reticles at a given technology
node with a large pixel; this is sometimes referred to as pixel migration. The larger pixel with
SL2+ can then perform the inspections at similar sensitivity settings and higher throughput, thus
lowering CoO.
Two aspects are critical when a new reticle type is introduced in a wafer fab: printability and reticle
inspection. In this study, we inspected 4 PSM reticles at the 45nm technology node, at P90, on the 5xx
TeraScanHR platform. We successfully inspected SL2+ reticles of the PSM type at P90. We forecast
that in a high volume 32nm node production environment, P72 SL2+ will address the inspectability
challenges associated with PSM masks. This is based on strict requirements for sensitivity on
contamination defects, inspectability, and cost of ownership, as when UMC addressed their wafer
printability issues.
Ever-tightened design rules and ensuing aggressive OPC features pose significant challenges for wafer fabs in the pursuit
of compelling yield and productivity. The introduction of advanced reticles considerably augments the mask error
enhancement factor (MEEF) where progressive defects or haze, induced by repeated laser exposure, continue to be a
source of reticle degradation threatening device yield. High resolution reticle inspection now emerges as a rescue venue
for wafer fabs to assure their photomask integrity during intensive deep UV exposure. Integrated in the high resolution
reticle inspection, a MEEF-driven lithographic detector "Litho3" can be used run-time to group critical defects into a
single bin. Previous investigations evinced that critical defects identified by such detector were directly correlated with
defects printed on wafer, upon which fab users can make cogent decisions towards reticle disposition and cleaning
therefore reduce cycle time.
One of the challenges of implementing such detector resides in the lengthy set up of user-defined parameters, from
practitioner standpoint, can considerably extend reticle inspection time and inevitably delay production. To overcome
this, an automatic simulation program has been written to optimize Litho3 settings based off a pre-inspection in which
only default Litho3 values are needed. Upon completion of the pre-inspection, the images are then scanned and
processed to extract the optimal Litho3 parameters that are largely dependent upon the feature size characteristics and
local MEEF. Thus optimized Litho3 parameters can then be input into the recipe set up to enable a real-time inspection,
as such fab user can timely access the defect criticality information for subsequent defect disposition. In the interest of
printability validation, such defect information and associated coordinates can be passed onto defect review via XLINK
for further analysis. Corresponding MEEF values are also available for all identified critical defects. Through this
automatic program the set up time for Litho3 can be reduced by up to 90%.
For high capacity production fabs running a pre-inspection is deemed infeasible; this automatic optimization program
can also serve as a direct interpretation of any regular reticle inspection even without invoking Litho3 set up, yet in the
end provide output in the context of defect criticality. Results acquired from this program were found in good accordance
with those from the real-time Litho3 inspection, for both critical and non-critical layers of 90 nm design node. Such
capability allows detailed study of defect criticality in relation to its size, defect optical transmittance, residing surface,
its proximity to a printing pattern as well as lithography parameters such as NA and sigma. Furthermore, coupling this
automatic program with high resolution inspection also assists in determining lithography process window and an indepth
comprehension of defect progression mechanism.
The advent of device miniaturization necessitates sub-half-micron features delineated on reticles where photomask quality, more so than ever, exerts remarkable yield impact on 65 nm node and below. The introduction of advanced reticles considerably augments the mask error enhancement factor (MEEF) in the non-linear regime ensuing aggressive OPC features. The increased MEEF leads to tightened defect capture criteria, in which many of the previously
insignificant defects become of interest and may have substantial yield impact. To provide desired sensitivity, a high resolution inspection is a must; it also effectively monitors mask reliability. However, the productivity of such inspection greatly depends on defect disposition efficacy in sorting out critical defects from the large population detected on contaminated masks [1-3].
Anchoring high resolution reticle inspection, wafer fabs are in a relentless pursuit of optimal defect disposition method to meet the throughput demand. In particular, progressive defects or haze, induced by repeated laser exposure, continue to be a source of reticle degradation threatening device yield. Early detection of these defects to circumvent the printability impact becomes vitally important yet challenging. In addition to its size, the defect criticality also largely depends upon defect optical transmittance, residing surface, its proximity to a printing pattern as well as lithography parameters such as NA and sigma [4-6].
A MEEF-driven lithographic detector named "Litho3" has been designed that can be used run-time during mask inspection to effectively group the critical defects into a single bin based on their potential yield impact. The coordinates of these critical defects, identified by the above Litho3 detector, can then be transferred from reticle to wafer and subsequently subject to printability validation, upon which defective sites can be analyzed thoroughly on reticle or wafer review tools. Such capability reduces inspection cycle time by improving defect disposition efficacy, also assists in
determining lithography process window and a further comprehension of defect progression mechanism.
The double dipole lithography (DDL) has been proven to be one of the resolution enhancement technologies for 45 nm
node. In this paper, we have implemented a full-chip DDL process for 45nm node using ArF immersion lithography.
Immersion exposure system can effectively enlarge the process DoF (depth of focus). Combining with dipole
illumination can help us to reach smaller k1 value (~0.31) and meet the process requirements of poly and diffusion
layers on 45nm node by using only 0.93 NA exposure tool. However, from a full-chip processing point of view, the
more challenging question should be: how to calibrate a good model from two exposure and decompose original design
to separate mask sets? Does the image performance achieve a production worthy standard? At 45nm node, we are
using one-fourth of the exposure wavelength for the patterning; there is very little room for error. For DDL full-chip
processing, we need a robust application strategy to ensure a very tight CD control.
We implemented an integrated RET solution that combines DDL along with polarization, immersion system, and model
based OPC to meet full-chip manufacturing requirement. This is to be a dual-exposure mask solution for 45nm node - X-dipole exposure for vertical mask and horizontal for Y-dipole. We show a process design flow starting from the
design rule analysis, layout decomposition, model-based OPC, manufacturing reliability check, and then to the mask
data preparation. All of the work has been implemented using MaskWeaverTM geometry engine. Additionally, we
investigated printability for through-pitch line features, ASIC logic, and SRAM cell design patterns. Different circuit
layout needs dedicated special OPC treatment. To characterize the related process performance, we use mask
enhancement error factor (MEEF), process window (PW), and critical dimension uniformity (CDU) to analyze the
simulation data. Since we used the tri-tone Att-PSM, the mask making flow and spec was also taking into
consideration. The device electrical performance was examined for production feasibility. We conclude that the DDL
process is ready for 45nm node and is well within reach to be used on next generation production environment.
As semiconductor process technology moves to 65nm and beyond, RET (resolution enhancement technology)
becomes more and more important, especially in low k1 processes, where it is used frequently.
Currently, in the 65nm generation, the k1 is ~0.4 on a 0.85 NA exposure tool. However, the NA improvement of the
exposure tool cannot meet the schedule of generation movement very well. Low k1 technology must be applied on next
generation processes. For the 45nm generation, a 0.93 NA exposure tool is available currently and is used to achieve the
production criteria. Because the k1 value is quite low (~0.31), using traditional methods cannot satisfy process
requirements.
For metal layers of the 45nm generation, 55nm photo-resist CD (critical dimension) patterning of 130nm pitch is a
difficult goal on a 0.93 NA exposure tool. Traditional OAI (off-axis-llumination) (annular mode) cannot provide enough
image contrast for pattern printing. Customization of illumination mode is an approach on low k1 processes. Another one
is utilizing light source polarization to achieve resolution improvement. In this paper, we introduce different approaches
on 45nm metal patterning. The RET approach (C-quad. illumination mode with polarization) can provide enough image
contrast in pattern printing to solve process issues.
As semiconductor process technology moves to smaller generations (65nm and beyond), the contact pattern printing
becomes the most difficult challenge in the lithography field. The reason comes from the smaller feature size and pitch of
contact/via pattern printing that is similar to 2D (two-dimensional) patterning. Contact and via patterns need better image
contrast than line/space patterns in pattern printing. Hence, contact/via printing needs a higher k1 value than others.
In 65nm generation experience, the k1 is ~0.44 on a 0.85 NA exposure tool. A larger NA exposure tool is expensive
and developed slower than the motivation of generation. Hence, the process is difficult to achieve by obtaining larger NA
exposure tools. The k1 requirement of 45nm (logic) contact pattering (minimum pitch: 140nm) is ~0.34 on a 0.93 NA
exposure tool that is available currently. RET (resolution enhancement technology) is necessary to achieve the difficult
process goal. Splitting pitch technology is an RET approach to solving 45nm contact pattering.
In this paper, we use a 2P1E (2 photo exposure and 1 etching) approach to meet our process requirements. The
original layout is split into dense pitch pattern and semi-iso to iso pattern parts by software. Utilizing strong OAI
(off-axis-illumination) on dense pattern part and weak OAI on semi-iso to iso pattern part can obtain better process
results.
Spectroscopic critical dimension (SCDTM) metrology on line gratings has previously been shown to be a sensitive and useful technique for monitoring lithographic focus and exposure conditions. Line end shortening (LES) effects are sensitive to focus and potentially more sensitive to focus variation than side wall angle or other profile parameters of line gratings. Rectangular line segment structures that exhibit line-end shortening behavior are arranged in a rectangular two-dimensional (2D) array to provide a scatterometry signal sensitive to the profile of the thousands of line ends in the measurement beam spot. Spectroscopic ellipsometry (SE)-based scatterometry measurements were carried out on 2D array targets of rectangular features exposed in a focus-exposure matrix (FEM). The focus and exposure sensitivities of multiple shape parameters were found to be good and uniquely separable. In addition, the side wall angle of the line ends was found to be nearly linearly dependent on focus and provide necessary focus direction information. Focus and exposure can be determined from SCD measurements by applying a model generated to describe the focus-exposure behavior of multiple shape parameters using KLA Tencor's KT Analyzer software. Several different models based on different combinations of shape parameters were evaluated. Focus measurement precision of 3nm 3σ was obtained, which will be useful for lithography processes with tight depth of focus.
As semiconductor process technology moves down below 90nm and 65nm, 193nm CPL (Chromeless Phase Lithography) technology becomes an important lithography strategy for process improvement on critical layers. In addition to the demand for very tight mask CD control, for a dry-etched process, there are two critical factors that can have significant impact on wafer CD control and window performance. They are etch-depth control (phase) through feature pitch and overall etching slope profile. Both affect image quality and the final overlapped process window. In this paper, we will study the effect of a 3D topology mask on the process window and wafer CD by making special 193nm CPL masks and printing them on 300mm wafers under a production-manufacturing environment. These masks had been specially designed with different sidewall angles and different etch depths (phase). There are 4 different quartz etch depths and 3 different sidewall angles for specially designed test patterns that are compatible with the 65nm technology node. They are printed on 300mm wafers by using a high NA ASML 193nm scanner and high contrast resist. In order to establish more effective specifications of phase and profile control on 193nm CPL between mask shops and wafer fabs, all AFM, wafer CD, and simulation results will be compared and correlated. By comparing the wafer CD and pattern profile on through focus conditions, we can understand the impact of phase and 3D mask profile on process performance.
Approaches to verify post-OPC designs for manufacturing have evolved from a number of separate inspection strategies. OPC decorations are verified by design rule or optical rule checkers, the reticle is verified by a reticle inspection system, and the patterned wafers are verified by wafer inspection and metrology tools. Each verification step looks at a different representation of the desired device pattern with very little data flowing between them.
Previously, we reported a new paradigm in design verification, moving the OPC verification from the design plane to the wafer plane where it really matters. The DesignScanTM system inspects the OPC decorated design by simulating how the design will be transferred to the reticle layer and how that reticle will be imaged into resist across the full focus-exposure calibration window, which can be up to twice as large as the process window. DesignScanTM first simulates the resist images at the nominal conditions (the best focus/exposure-F0E0) and compares them to pre-OPC design to detect unacceptable variations. Then it simulates resist images across the focus-exposure window and compares them to the best focus/exposure reference. Defect detection algorithms are applied to determine if any unacceptable variation in the pattern occurs within the nominal process window.
In this paper we will propose a new methodology on process window monitoring for OPC databases using DesignScan and report results for a chip. We will also report newly developed 2D defect detectors: line end shortening (LES) and interlayer overlap (ILO). New applications will be discussed and reported; such as, determination of the reticle target CD specification through process window simulation across a range of target CDs by biasing the post-OPC data by a few nanometers in both directions (+ and -). Pattern dependent reticle CD specifications are possible by identifying the weak structures.
As semiconductor process technology moves down below 90nm and 65nm, more and more wafer fabs are starting to apply 193nm CPL (Chromeless Phase Lithography) technology as the main lithography strategy for their most critical layers. However the 3D pattern profile is another critical factor, which affects image intensity and final process window. Since 193nm CPL is a relatively new technology in the semiconductor industry, it is important for us to understand the key mask specifications of 193nm CPL and their impact on wafer-level imaging. In this paper, we will study the effects of sidewall angle on process window and wafer CD using 193nm CPL masks in a 300mm wafer manufacturing environment. We begin our experiment by making several special 193nm CPL masks. These masks have been specially designed with different sidewall angles (SWA) with phase of 180 degrees. The sidewall angle spread represents approximately 10 degrees. We use specially designed test patterns that are compatible at the 65nm technology node. In our experiment, we first study the correlation between AFM (atomic force microscope)-determined profile angle and lithographic process behavior. In addition, simulation was also used to predict the impact of 3D profile on process performance.
All lithographic experiments were performed on 300mm wafers using a high NA ASML 193nm scanner and high contrast resist. In this study, we have focused on the impact of sidewall angle on wafer process performance by comparing the wafer CD and pattern profile through focus. In order to establish more effective specifications of angle control in 193nm CPL between mask shop and wafer fabs, all AFM, wafer CD, and simulation results will be compared and correlated.
In 65nm and beyond generations, contact/via patterning is more challenging due to the complexity of manufacturing masks and the weak lithography process window. High NA scanners and suitable illumination can provide the desired resolution and dense pitch. However, there are trade-offs between process window, mask error enhancement factor (MEEF), and proximity effect. Some assistant technology is reported in literature, such as thermal flow, RELACS, SAFIER and sub-resolution assistant features. In this paper, we report a detailed study of the feasibility and limitations of these kinds of methods. Finally, we describe sub-resolution assistant features when used in QUASAR illumination with lower sigma, which have shown great promise to reduce the proximity effect and MEEF to get a larger lithography process window.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.