Upcoming generations of integrated circuits (e.g., below the 2nm device node) will be achieved with the help of anamorphic optics in High-NA EUV scanners which cause the effective exposure field of the High-NA scanner to be scaled in half compared to its Low-NA EUV and DUV counterparts. Therefore, layers exposed on High-NA anamorphic scanners will require two or more stitched mask exposures to achieve the equivalent exposure area of previous-generation scanners. The lithography patterning at a stitching boundary between two mask exposures will be affected by additional process variation such as exposure-to-exposure overlay/dose/focus offsets and mask-to-mask overlay/mask-CD offsets. Therefore, the allowed patterns printed at a stitching boundary must be restricted relative to patterns printed at single exposure regions on the wafer. The physical design flow of the chip must be aware of the stitching region and optimized to be stitching-friendly. Fortunately, there are a variety of different options for designing stitch-friendly target patterns and for optimization of stitched patterns in OPC/RET. In this paper we will review and test different improvement options for enabling High-NA stitch-friendly design and patterning.
In this paper we will evaluate the impact of stitching on process window and show how EDA can help to improve the manufacturability of stitched layers. More specifically, we demonstrate modeling of double exposure effects suitable for full-field correction and verification that incorporates aerial image cross-talk, optical black border transitions, subresolution gratings, sub-resolution assist-features and long-range flare. We also evaluate how stitching impacts different high NA processes and how correction of these effects (ex. via optical proximity correction, inverse lithography technology) can be used to increase process robustness. Finally, we examine the impact of stitched pattern overlap to process window and how loss of process window due to stitching can be mitigated.
One of the key methods targeted for continuing the resolution scaling in new device technology nodes is the trend towards using curvilinear mask patterns. With recent advances in multi-beam mask patterning and large-scale adoption of ILT mask data correction, curvilinear (and all-angle) mask patterns are considered today as a mainstream technology option. Curvilinear mask patterns provide improved wafer resolution and OPC/ILT mask correction control with reduced mask manufacturing issues related to tight corners and line-ends. However, OPC, ILT, LRC and other full-chip simulation-based mask synthesis methods also require more accurate electromagnetic (i.e., M3D) simulation for new technology nodes. Prior full-chip electromagnetic simulation methods have often assumed that mask patterns are restricted to Manhattan geometries or utilize limited angles. Therefore, there is a general industry need for improved electromagnetic full-chip simulation methods for curvilinear mask patterns. This paper will present a new electromagnetic full-chip simulation method for curvilinear mask patterns that will improve the accuracy of mask synthesis methods at upcoming technology nodes. This method can provide both accuracy and speed benefits on mask synthesis with curvilinear mask patterns for both DUV and EUV lithography. The method utilizes an enhanced physics-based treatment of electromagnetic mask scattering both tuned and verified by rigorous electromagnetic Maxwell’s equation solvers.
Integrated circuit performance has been limited by transistor performance for many process nodes. However, in advanced nodes where pitches reach 10s of nanometers in size, there is an increasing probability of cases where circuit timing may be limited by the resistance and capacitance of the device rather than the transistor. This means that metal layer patterning may have implications on device performance beyond reliability, shorts, and opens. Lithography variation can be effectively predicted using stochastic simulations, including layer overlay. Simulating many patterns stochastically produces insight into the performance of the lithography process over time. Etching and metallizing the pattern set in simulation then allows the study to extend to electrical simulations. The combined lithography and electrical simulation data can then be used together to improve process or pattern performance before constructing a reticle. These data also allow the engineering teams to address resist and capacitance issues that may impact device performance prior to tapeout. This paper will investigate the metal layers of a structure designed to emulate an advanced node logic circuit that uses a CFET transistor. The structure will be corrected with OPC, and each layer will be simulated to generate a large (100) set of stochastic patterns at multiple process conditions in focus, overlay, and exposure. Each of these patterns will then be etched in a modeled process and metalized with copper. Finally, resistance and capacitance measurements will be generated from circuit simulations. The output data will then be used to update the lithography process or the pattern to improve through process performance including electrical characteristics.
The first high-NA EUVL scanner will have an 0.55 NA and will use anamorphic magnification. Therefore, the standard 10×13 cm lithography mask will be imaged into a 2.6×1.65 cm rectangle on the wafer due to the increased reduction factor of the lens’ vertical direction. Layers exposed on high-NA anamorphic scanners will require two stitched halffields to achieve the equivalent exposure area of previous-generation scanners. Stitching strategies will depend on the product type being manufactured. For chips with a large die area, it will be necessary to stitch fields across the die. For smaller chips, it may be advantageous to use three stitched exposures depending on the die size. In any case, the stray light from neighboring fields and black border proximity effects cause challenges for robust manufacturing. Some recent studies have shown that the CD may vary significantly as a function of the proximity to the black border edge due to multilayer stresses. In addition, stitching through a die has increased optical proximity effects which will need to be corrected to achieve the desired wafer CD. In this paper we examine the effects relevant to designing a stitched process, quantify manufacturing tolerances, and show how these effects can be corrected with EDA. More specifically, we examine the optical and mechanical properties of the multi-layer black border etch and optimization of sub-resolution gratings to reduce reflectivity with phase shifting absorber materials. Ultimately, we will show that for a well designed stitch, the effects of stitching can be corrected without impact to process window.
EUV lithography has been ramped to successful volume manufacturing through a combination of improvements in process technology, layout design and device interactions, and also optimization of the overall product integration to reduce undesirable interactions. Because EUV has additional sources of systematic and stochastic variation that did not exist in DUV lithography, it is now even more important to have accurate predictive capability to test and understand the design and lithography process interactions. EUV-specific physical behavior such as shadowing, flare, mask topography (i.e., Mask3D) effects, mask stack reflectivity, mask absorber behavior and other effects are key differences in how EUV forms an image on the mask and subsequently on the wafer. The reflective mask substrate and EUV-specific mask absorber stack are therefore highly important technologies to optimize as the industry pushes both low NA (0.33NA) and high NA (0.55NA) technologies to cover the patterning requirements of upcoming 3nm and below technology nodes. Recently there have been substantial industry interest in optimizing EUV mask stacks to further enhance imaging behavior and achieve better pattern resolution, increase process window, lower stochastic defectivity and optimize flare. Several different options have been proposed for these new EUV mask stacks for lower K1 EUV patterning. All of these new options require excellent simulation accuracy in OPC, SrAF placement, OPC verification and ILT mask synthesis steps in order to realize the benefits of the new mask stacks. In this paper we will focus on analyzing and improving the accurate prediction of a range of new EUV mask stack options for full-chip OPC/ILT compatible compact models. We will show for advanced mask designs the accuracy requirements and capability of leading-edge compact models. The accuracy requirements and capability will be referenced to fully rigorous electromagnetic solver (e.g., Mask3D) results to ensure industry needs are met. We will also explore the mask stack options to highlight the imaging benefits for different material thickness, refractive index (n) and extinction coefficient (k) on important mask pattern feature and layer types.
This study investigates the role of EUV mask absorber materials defects on stochastic defect formation in a lithographic process using simulations. The purpose of these simulations is to compare absorber materials by their role of affecting stochastic defects as well as CD performance in the lithographic process. The absorber materials are tested for bright and dark field masks using low defectivity and CD criteria to define process windows for different pattern types. The process windows are examined to identify and compare an estimated process window overlap that takes patterns, material, CD performance and defectivity into account. The defectivity of the stochastic process simulation was increased using a modification to the distribution of chemical components that resembles the effect of PAG and Quencher molecules forming clusters. The study demonstrates that the overlap of low defectivity process windows strongly depends on the types of patterns and the absorber material.
The lithography industry has historically striven to improve resolution by reducing wavelength and increasing the lens’ numerical aperture (NA). The introduction of 0.33 NA extreme ultraviolet (EUV) lithography into high-volume manufacturing (HVM) represents the largest jump in resolution ever achieved by the industry. However, even this resolution is not sufficient for the patterns required for beyond the 2 nm logic technology node. This is due to low contrast and the diffraction limit of current EUVL scanners for the mask patterns required for these nodes. Instead, the resolution must be improved by increasing the NA. This will also increase the contrast of patterns which had insufficient contrast at 0.33 NA, which will in turn improve LCDU and defectivity. This change is not without its challenges though. Increasing the NA from 0.33 to 0.55 will cause a significant reduction in depth of focus. In addition, stronger mask 3D effects can cause pattern dependent shifts in best focus. As a result, the common overlapping process window of several critical patterns can become strongly diminished. The use of anamorphic optics will require two separate half-field exposures to obtain the equivalent of a single full-field exposure on current EUV and DUV scanners. For some chip sizes, this will require stitching two half-fields together to pattern the full chip area. In previous technology nodes, the process window could be improved using SMO and SRAFs. In addition, over the last five years, the industry has put significant effort into studying alternative absorbing materials. These materials can significantly reduce the mask 3D effects by reducing the thickness of the absorber. The use of alternative absorbers alone will not be sufficient for improving the overlapping process window. Instead, several techniques must be simultaneously utilized in order to ensure sufficient overall process window. Optimization of overlapping process windows is critical for successful insertion of high-NA EUVL into HVM. In this paper we analyze how the process window of critical patterns can be optimized by using different optimizations. We will show for realistic mask designs how process window can be improved in different process steps. Double exposure from half-field stitching will also be included in the process evaluation. We use both rigorous and compact modeling in a complimentary fashion for overall process optimization analysis. All techniques presented in this paper accurately model the anamorphic, centrally obscured optics of the upcoming next-generation high-NA scanners.
The quality of any lithographic pattern is dependent on the photon absorption events that a photoresist pattern receives during exposure and subsequent molecular events. The failure probability is not governed by the stochastics of any one process and is conditional on multiple stochastic and conventional variations (such as resist thickness). These processes jointly result in the observed distribution with multiple pathways resulting in the same rare event. This paper will examine how probabilistic processes combine to form rare defects so that these defects can be predicted and corrected. Rigorous simulations will be used to study the distributions and will be applied to existing stochastic compact model forms.
Aberrations must be sufficiently controlled to make moving to a higher numerical aperture worthwhile. Traditional isomorphic imaging systems form the same image regardless of their rotation. Likewise, the aberration basis chosen for isomorphic optics is invariant under rotation. Anamorphic optics are not rotationally invariant though—they are only reflection invariant. We have shown in previous reports that a basis composed from a product of Legendre polynomials represents the balanced aberrations of anamorphic optics. Solutions have been presented under the presence of a circular central obscuration. This paper will examine the properties of these aberrations and their effects on image formation through analogies to the well-known Zernike aberrations. It will be shown that ray tracing simulations of the point spread function of an anamorphic optic in Code V matches predictions made by the proposed basis. A system will be described for computing an anamorphic aberration basis in the presence of an arbitrary obscuration. Based on this system we will analyze the effects of using the basis for the wrong type of obscuration.
In EUV lithography, the short wavelength and residual mirror surface roughness increase the flare levels across the slit. As a key research point, the flares of different exposure fields are carefully discussed by numerical simulation. To ensure the effectiveness and practicability of our simulations, the test patterns are generated according to the general design rules for 7nm technology node. The NILS, process variation band (PVB) and MEEFs from mask optimizations and source mask optimizations (SMO) results are compared. From the comparisons, the constant flare has a greater influence on NILS and PVB than that on MEEF. In contrast, the flare map caused more reduction on the MEEF values.
Extreme ultraviolet lithography (EUVL) systems struggle from both low source brightness and low source throughput through the tool. For these reasons, photon shot noise will play a much larger role in image process development for EUVL than in DUV processes. Furthermore, the lower photon count increases the stochastic variation of all the processes which occur after photon absorption. This causes the printed edge to move away from the mean edge with some probability. This paper will present a model form and calibration flow for including stochastic probability bands in compact models suitable for full chip simulation. This model form relies on calibrating to statistical data from a rigorous EUV stochastic lithography model calibrated to wafer experimental data. The data generation, data preparation, and model calibration flows for the compact stochastic probability bands will be presented. We will show that this model form can predict patterns which are prone to stochastic pattern failure in realistic mask designs, as well as how this model form can be used downstream for full chip correction (e.g., SMO, OPC and/or ILT).
As the power of laser produced plasma sources has increased, EUV lens heating has become a major component of process variation. Differential lens heating can cause thermal aberrations which affect system drift during operation, therefore pupil plane characterization will play a critical role in process optimization for EUV lithography (EUVL). In-situ full pupil characterization, which depicts the pupil in its in-use state, is essential for these tools. To this end we have developed Quick Inverse Pupil (QUIP)—a software suite developed for rapid characterization of pupil plane behavior based on images formed by that system. This algorithm is based on statistical modeling, which correlates image-space variation with known aberrations. Previously we have presented variations on this algorithm which can only measure third-order aberrations and requires aerial image data. In this paper, we will present an approach to measure high order aberrations from images formed in resist. An inverse pupil solution will be obtained from CD-SEM image analysis. We will show that the additional degrees of freedom required to measure high-order aberrations can be achieved through using different pitches of the metrology targets. We will demonstrate that this technique can accurately determine third- and fifth-order aberrations with a retrieval error below 0.5 miliwaves in under one second. A combination of synthetic and experimental data will be presented.
Aberration characterization plays a critical role in the development of any optical system. State-of-the-art lithography systems have the tightest aberration tolerances. We present an approach to image-based pupil plane amplitude and phase characterization using models built with a space-domain basis, in which aberration effects are separable. A polynomial model is constructed between the projections of the image intensity for chosen binary mask targets onto this basis and pupil amplitude or phase variation. This method separates model building and pupil characterization into two distinct steps, thus enabling rapid pupil characterization following data collection. The basis is related to both the transmission cross-coefficient function and the principal components of the image intensity. The pupil plane variation of a zone-plate lens from the Semiconductor High-NA Actinic Reticle Review Project (SHARP) at Lawrence Berkeley National Laboratory is examined using this method. Results are compared to pupil plane characterization using a previously proposed methodology where inverse solutions are obtained through an iterative process involving least-squares regression.
Next-generation EUV lithography systems will use anamorphic optics to achieve high-NA. The well-known Zernike circle polynomials do not describe the sixteen primary aberrations of these anamorphic optical systems though. We propose to use a basis which does describe the primary aberrations. We examine the properties of this new basis and how they impact lithographic processes through analogies to isomorphic aberrations. We have developed an application to use the proposed basis in existing lithography simulators. There is an additional importance in EUVL placed on understanding how pupil variation evolves during system operation. Interferometric methods are the de facto standard of pupil phase metrology but are challenging to implement during tool use. We have previously presented an approach to measure both the pupil amplitude and phase variation of isomorphic EUVL systems from images formed by that system. We show how this methodology can be adapted to anamorphic optical systems. More specifically, we will present a set of binary metrology targets sensitive to the anamorphic primary aberrations.
An approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function is presented. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We seek to examine the behavior of pupil amplitude variation in real-optical systems. Optimized target images were captured under several conditions to fit the resulting pupil responses. Both the amplitude and phase components of the pupil function were extracted from a zone-plate-based EUV mask microscope. The pupil amplitude variation was expanded in three different bases: Zernike polynomials, Legendre polynomials, and Hermite polynomials. It was found that the Zernike polynomials describe pupil amplitude variation most effectively of the three.
Pupil plane characterization will play a critical role in image process optimization for EUV lithography (EUVL), as it has for several lithography generations. In EUVL systems there is additional importance placed on understanding the ways that thermally-induced system drift affect pupil variation during operation. In-situ full pupil characterization is therefore essential for these tools. To this end we have developed Quick Inverse Pupil (QUIP)—a software suite developed for rapid characterization of pupil plane behavior based on images formed by that system. The software consists of three main components: 1) an image viewer, 2) the model builder, and 3) the wavefront analyzer. The image viewer analyzes CDSEM micrographs or actinic mask micrographs to measure either CDs or through-focus intensity volumes. The software is capable of rotation correction and image registration with subpixel accuracy. The second component pre-builds a model for a particular imaging system to enable rapid pupil characterization. Finally, the third component analyzes the results from the image viewer and uses the optional pre-built model for inverse solutions of pupil plane behavior. Both pupil amplitude and phase variation can be extracted using this software. Inverse solutions are obtained through a model based algorithm which is built on top of commercial rigorous full-vector simulation software.
We present an approach to image-based pupil plane amplitude and phase characterization using models built with principal component analysis (PCA). PCA is a statistical technique to identify the directions of highest variation (principal components) in a high-dimensional dataset. A polynomial model is constructed between the principal components of through-focus intensity for the chosen binary mask targets and pupil amplitude or phase variation. This method separates model building and pupil characterization into two distinct steps, thus enabling rapid pupil characterization following data collection. The pupil plane variation of a zone-plate lens from the Semiconductor High-NA Actinic Reticle Review Project (SHARP) at Lawrence Berkeley National Laboratory will be examined using this method. Results will be compared to pupil plane characterization using a previously proposed methodology where inverse solutions are obtained through an iterative process involving least-squares regression.
KEYWORDS: Photomasks, Extreme ultraviolet lithography, Extreme ultraviolet, 3D modeling, Phase shifts, Systems modeling, Deep ultraviolet, Monochromatic aberrations, Diffraction, Lithography, 3D image processing, Point spread functions
The non-zero chief ray angle at the object (CRAO) in EUVL systems introduces azimuthally asymmetric phase shifts. Understanding and characterizing these effects is critical to EUVL system and mask design. The effects of 3D mask absorber geometry on diffraction phase were examined through rigorous simulation. The diffraction phase distribution was split into even and odd components to enable analogies between the well-known effects of lens aberrations and EUV 3D mask effects. Specifically, this analysis reveals that the odd component of the phase distribution is non-zero in off-axis optical systems. We have found that 3D mask effects in EUVL systems can be partially compensated in the pupil plane to minimize aerial image effects, such as best focus shifts, horizontal-vertical CD bias, and image placement error.
We present an approach to image-based EUV aberration metrology using binary mask targets and iterative model-based solutions to extract both the amplitude and phase components of the aberrated pupil function. The approach is enabled through previously developed modeling, fitting, and extraction algorithms. We examine the flexibility and criticality of the method using two experimental case studies. The first extracts the pupil phase behavior from an ASML NXE:3100 exposure system and shows primary aberration sensitivity below 0.2 mλ. The second experiment extracts both components of the pupil function from the SHARP EUV microscope.
EUV lithography is likely more sensitive to drift from thermal and degradation effects than optical counterparts. We have developed an automated approach to photoresist image-based aberration metrology. The approach uses binary or phase mask targets and iterative simulation based solutions to retrieve an aberrated pupil function. It is well known that a partially coherent source both allows for the diffraction information of smaller features to be collected by the condenser system, and introduces pupil averaging. In general, smaller features are more sensitive to aberrations than larger features, so there is a trade-off between target sensitivity and printability. Therefore, metrology targets using this technique must be optimized for maximum sensitivity with each illumination system. This study examines aberration metrology target optimization and suggests an optimization scheme for use with any source. Interrogation of both low and high order aberrations is considered. High order aberration terms are interrogated using two separate fitting algorithms. While the optimized targets do show the lowest RMS error under the test conditions, a desirable RMS error is not achieved by either high order interrogation scheme. The implementation of a previously developed algorithm for image-based aberration metrology is used to support this work.
As EUV lithography attempts to outperform other lithographical methods to the sub-14 nm node, the demand for a larger NA traditionally dominates the drive for scaling. There are, however, many challenges to overcome in order to accomplish this [1]. Due to the reflective optics in EUV systems, angular effects of oblique illumination, and non-zero chief ray angle at the objective (CRAO), must be carefully considered and will need to be well understood if high-NA EUV is to be successful. This study investigates impact on of the bias between horizontal and vertical feature CD, image placement error and NILS. Effects of sidewall absorber angle, absorption coefficient (k) and absorber thickness are observed through pitch with various source shapes in an EUV lithography system.
Historically IC (integrated circuit) device scaling has bridged the gap between technology nodes. Device size reduction
is enabled by increased pattern density, enhancing functionality and effectively reducing cost per chip. Exemplifying
this trend are aggressive reductions in memory cell sizes that have resulted in systems with diminishing area between
bit/word lines. This affords an even greater challenge in the patterning of contact level features that are inherently
difficult to resolve because of their relatively small area and complex aerial image. To accommodate these trends,
semiconductor device design has shifted toward the implementation of elliptical contact features. This empowers
designers to maximize the use of free device space, preserving contact area and effectively reducing the via dimension
just along a single axis. It is therefore critical to provide methods that enhance the resolving capacity of varying aspect
ratio vias for implementation in electronic design systems. Vortex masks, characterized by their helically induced
propagation of light and consequent dark core, afford great potential for the patterning of such features when coupled
with a high resolution negative tone resist system. This study investigates the integration of a vortex mask in a 193nm
immersion (193i) lithography system and qualifies its ability to augment aspect ratio through feature density using aerial
image vector simulation. It was found that vortex fabricated vias provide a distinct resolution advantage over
traditionally patterned contact features employing a 6% attenuated phase shift mask (APM). 1:1 features were
resolvable at 110nm pitch with a 38nm critical dimension (CD) and 110nm depth of focus (DOF) at 10% exposure
latitude (EL). Furthermore, iterative source-mask optimization was executed as means to augment aspect ratio. By
employing mask asymmetries and directionally biased sources aspect ratios ranging between 1:1 and 2:1 were
achievable, however, this range is ultimately dictated by pitch employed.
The roughness present on the sidewalls of lithographically defined patterns imposes a very important challenge for advanced technology nodes. It can originate from the aerial image or the photoresist chemistry/processing [1]. The latter remains to be the dominant group in ArF and KrF lithography; however, the roughness originating from the mask transferred to the aerial image is gaining more attention [2-9], especially for the imaging conditions with large mask error enhancement factor (MEEF) values. The mask roughness contribution is usually in the low frequency range, which is particularly detrimental to the device performance by causing variations in electrical device parameters on the same chip [10-12]. This paper explains characteristic differences between pupil plane filtering in amplitude and in phase for the purpose of mitigating mask roughness transfer under interference-like lithography imaging conditions, where onedirectional periodic features are to be printed by partially coherent sources. A white noise edge roughness was used to perturbate the mask features for validating the mitigation.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.