Presentation
19 March 2018 Novel hybrid metrology for process integration of gate all around (GAA) devices (Conference Presentation)
Gangadhara R. Muthinti, Nicolas Loubet, Robinhsinkuo Chao, Abraham Arceo De La Pena, Dexin Kong, Juntao Li, Brock Mendoza, Veeraraghavan Basker, Tenko Yamashita, John Gaudiello, Matthew Sendelbach, Aron J. Cepler, Susan Ng-Emans, Gilad Barak, Wei Ti Lee
Author Affiliations +
Abstract
Multi-channel gate all around (GAA) semiconductor devices require measurements of more target parameters than FinFET devices, due in part to the increased complexity of the different structures needed to fabricate nanosheet devices. In some cases, multiple measurement techniques are required to be used in a hybrid-metrology technique in order to properly extract the necessary information. Optical scatterometry (optical critical dimension, or OCD) is an inline metrology technique which is used to measure the geometrical profile of the structure, but it may not ordinarily be sensitive to very small residues. X-ray based metrologies, such as x-ray fluorescence (XRF) can be used to identify which materials are present in the structure, but are not able to measure profile information for complex 3D structures. This paper reviews a critical etch process step, where neither OCD nor XRF can extract all of the necessary information about the structure on their own, but, when hybridized, are able to provide enough information to solve the application. In GAA structures, the nanosheets are formed from alternating layers of thin SiGe and Si layers which are deposited on a bulk Si substrate. To form the nFET channel, the SiGe must be removed. However, in some cases, there is still remaining SiGe residue on the surface of the Si nanosheets, present in small amounts that are difficult to measure with conventional OCD. Additionally, it is desirable to know at which level of the stacked nanosheets the residue is present. In order to properly characterize the amount of SiGe remaining, data from both OCD and XRF are used. By measuring before and after the etch, the XRF can calculate the percentage of SiGe that is remaining after the etch. This percentage can be used as a constraint in the OCD model to allow the OCD to accurately measure the amount of SiGe, and to enable the OCD model to identify the location of the residue.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Gangadhara R. Muthinti, Nicolas Loubet, Robinhsinkuo Chao, Abraham Arceo De La Pena, Dexin Kong, Juntao Li, Brock Mendoza, Veeraraghavan Basker, Tenko Yamashita, John Gaudiello, Matthew Sendelbach, Aron J. Cepler, Susan Ng-Emans, Gilad Barak, and Wei Ti Lee "Novel hybrid metrology for process integration of gate all around (GAA) devices (Conference Presentation)", Proc. SPIE 10585, Metrology, Inspection, and Process Control for Microlithography XXXII, 105850Z (19 March 2018); https://doi.org/10.1117/12.2297500
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Gallium arsenide

Metrology

Etching

Silicon

Geometrical optics

Measurement devices

X-ray fluorescence spectroscopy

Back to Top