Presentation + Paper
15 October 2018 Phase defect inspection on EUV masks using RESCAN
Author Affiliations +
Abstract
As extreme ultraviolet (EUV) lithography is entering the high-volume manufacturing (HVM) phase, the ability to identify printable defects on EUV reticles becomes increasingly important to achieve the required wafer yield. However, no commercially available tool exists today for actinic patterned mask inspection (APMI). RESCAN is an APMI tool based on scanning coherent diffraction imaging (SCDI) under development at the Paul Scherrer Institut. In the last years, using RESCAN, we have demonstrated actinic identification of absorber defects on mask down to 36 nm size, and through-pellicle defect inspection. In this paper, we address a very critical but hitherto not reported feature of an APMI tool, namely the identification and characterization of phase defects on a patterned mask. Phase defects could be due to imperfections on the blank substrate leading to modification of the multilayer topology or due to particles embedded within the multilayer itself. Independent of the origin, the wave exiting the multilayer surface will have domains of phase variations as it propagates in the three-dimensional reticle stack. Mapping the exit wave that leave the EUV reticle both in amplitude and phase would be of paramount importance towards accurately predicting the EUV aerial images. Exploiting the amplitude and phase maps provided by SCDI, we use RESCAN for phase contrast imaging and to characterize programmed phase defects in a hybrid absorber-phase sample in a lens-less scheme, demonstrating the capability of the method and the tool.
Conference Presentation
© (2018) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Rajendran Rajeev, Iacopo Mochi, Dimitrios Kazazis, Sara Fernandez, Tseng Li-Teng, Patrick Helfenstein, and Yasin Ekinci "Phase defect inspection on EUV masks using RESCAN", Proc. SPIE 10809, International Conference on Extreme Ultraviolet Lithography 2018, 108090Q (15 October 2018); https://doi.org/10.1117/12.2502726
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Photomasks

Inspection

Defect inspection

Reticles

Diffraction

System on a chip

RELATED CONTENT

EUV mask defect analysis from mask to wafer printing
Proceedings of SPIE (April 01 2013)
Through-pellicle inspection of EUV masks
Proceedings of SPIE (March 19 2018)
Lensless EUV mask inspection for anamorphic patterns
Proceedings of SPIE (March 12 2021)
EUV mask infrastructure challenges
Proceedings of SPIE (May 03 2007)

Back to Top