Presentation
29 September 2021 Pathfinding the perfect EUV mask: understanding the EUV mask using the hybrid mask model
Author Affiliations +
Abstract
We employ the hybrid mask model of the Fraunhofer IISB simulator Dr.LiTHO to investigate the role of the absorber and multilayer for the observed image blur and contrast loss mechanisms. Hybrid mask model decomposes the EUV mask into the absorber and multilayer part. Both parts can be described by real 3D objects or idealized 2D objects. An idealized absorber is specified by the transmission tr and phase of the transmitted light. An idealized multilayer mirror is characterized by a certain reflectivity value, by an angular range or bandwidth (BW) where the light is reflected and by a distance Zeff from the absorber. The diffraction of the incident light by the absorber, the reflection of the diffracted light by the multilayer mirror, and the second diffraction of the reflected light by the absorber are combined by transfer matrices. The obtained superposed diffraction orders provide characteristic diffraction efficiencies and phase values of discrete orders. The presented analysis of the light diffraction from EUV mask and resulting imaging metrics provides several novel insights into the root causes of M3D effects in high NA EUV imaging. The combination of double diffraction by an ideal (thin) absorber and reflection by an ideal (thin) multilayer with a limited reflectivity range (BW <= 15°) explains the peculiarities of the optimum phase of attenuated PSM for EUV. In contrast to phase shift masks for DUV with an optimum phase of 180°, the optimum phase of attenuated phase shift mask for EUV is in the range between 200° and 250°. The optimum value varies with the pitch and a chosen trade-off between large image contrast (normalized image log slope - NILS) and large threshold to size. The real 3D absorber and multilayer contribute to the specific value of the best absorber thickness and phase as well, This finding explains previous observations of this phenomenon. Waveguide effects in real absorbers with low refractive index n are at the basis of the good imaging performance of low n materials. Low n absorber materials exhibit significant sensitivity to illumination direction and feature size. The described double diffraction of light by the absorber introduces characteristic jumps in the optimum bias (and other imaging metrics) versus pitch. The optimized phase/thickness of low n (low k) absorbers can extend the performance of high NA EUV imaging to pitches below 10 nm.
Conference Presentation
© (2021) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hazem M. S. Mesilhy, Peter Evanschitzky, Gerardo Bottiglieri, Eelco van Setten, Claire van Lare, Tim Brunner, Mark van de Kerkhof, and Andreas Erdmann "Pathfinding the perfect EUV mask: understanding the EUV mask using the hybrid mask model", Proc. SPIE 11854, International Conference on Extreme Ultraviolet Lithography 2021, 118540U (29 September 2021); https://doi.org/10.1117/12.2601243
Advertisement
Advertisement
KEYWORDS
Photomasks

Extreme ultraviolet

Diffraction

Refractive index

Extreme ultraviolet lithography

Lithographic illumination

Mirrors

Back to Top