Paper
1 August 2002 Microwave plasma resist stripping for mask manufacturing
Author Affiliations +
Abstract
Usually in photomask manufacturing, photoresists are stripped by wet processes using amineous solvents or acids. However, new photoresists and novel polymer-rich plasma etch processes in photomask manufacturing require new resist and polymer stripping techniques. The use of plasma strip processes strongly improves the stripping capability. One simple and economic solution is the microwave type reactor using oxygen plasma. As the chromium oxide antireflective coating (ARC) layer is etched in pure oxygen microwave plasma, the stripping plasma chemistry has to be modified to maintain sufficiently high selectivity towards chromium oxide. In this work a stripping process was optimized with respect to photoresist-to-chrome oxide selectivity and photoresist etch rate. The effect of the strip process on CD performance of the mask and integrity of the chromium oxide antireflective coating were investigated. Finally an endpoint detection solution was developed to optimize throughput. The described plasma stripping process proved to be fully applicable to photomask manufacturing.
© (2002) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Guenther G. Ruhl, Pavel Nesladek, and Astrid Boesl "Microwave plasma resist stripping for mask manufacturing", Proc. SPIE 4754, Photomask and Next-Generation Lithography Mask Technology IX, (1 August 2002); https://doi.org/10.1117/12.476956
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Plasma

Etching

Photoresist materials

Chromium

Microwave radiation

Oxides

Plasma etching

Back to Top