Paper
12 May 2005 Etch modeling for accurate full-chip process proximity correction
Author Affiliations +
Abstract
The challenges of the 65 nm node and beyond require new formulations of the compact convolution models used in OPC. In addition to simulating more optical and resist effects, these models must accommodate pattern distortions due to etch which can no longer be treated as small perturbations on photo-lithographic effects. (Methods for combining optical and process modules while optimizing the speed/accuracy tradeoff were described in “Advanced Model Formulations for Optical and Process Proximity Correction”, D. Beale et al, SPIE 2004.) In this paper, we evaluate new physics-based etch model formulations that differ from the convolution-based process models used previously. The new models are expressed within the compact modeling framework described by J. Stirniman et al. in SPIE, vol. 3051, p469, 1997, and thus can be used for high-speed process simulation during full-chip OPC.
© (2005) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Daniel F. Beale and James P. Shiely "Etch modeling for accurate full-chip process proximity correction", Proc. SPIE 5754, Optical Microlithography XVIII, (12 May 2005); https://doi.org/10.1117/12.600815
Lens.org Logo
CITATIONS
Cited by 5 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Etching

Optical proximity correction

Convolution

Photoresist processing

Process modeling

Data modeling

Optical simulations

RELATED CONTENT

Multi layer model vs. single layer model for N and...
Proceedings of SPIE (September 24 2010)
Modular process modeling for OPC
Proceedings of SPIE (March 28 2007)
Resist and etch modeling for the 45nm node
Proceedings of SPIE (May 20 2006)
Etch modeling in RET synthesis and verification flow
Proceedings of SPIE (June 28 2005)

Back to Top