Paper
22 March 2008 Evaluating diffraction based overlay metrology for double patterning technologies
Author Affiliations +
Abstract
Demanding sub-45 nm node lithographic methodologies such as double patterning (DPT) pose significant challenges for overlay metrology. In this paper, we investigate scatterometry methods as an alternative approach to meet these stringent new metrology requirements. We used a spectroscopic diffraction-based overlay (DBO) measurement technique in which registration errors are extracted from specially designed diffraction targets for double patterning. The results of overlay measurements are compared to traditional bar-in-bar targets. A comparison between DBO measurements and CD-SEM measurements is done to show the correlation between the two approaches. We discuss the total measurement uncertainty (TMU) requirements for sub-45 nm nodes and compare TMU from the different overlay approaches.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chandra Saru Saravanan, Yongdong Liu, Prasad Dasari, Oleg Kritsun, Catherine Volkman, Alden Acheta, and Bruno La Fontaine "Evaluating diffraction based overlay metrology for double patterning technologies", Proc. SPIE 6922, Metrology, Inspection, and Process Control for Microlithography XXII, 69220C (22 March 2008); https://doi.org/10.1117/12.774736
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Overlay metrology

Double patterning technology

Silicon

Scatterometry

Optical lithography

Diffraction

Critical dimension metrology

Back to Top