Paper
23 October 2015 ILP-based co-optimization of cut mask layout, dummy fill, and timing for sub-14nm BEOL technology
Kwangsoo Han, Andrew B. Kahng, Hyein Lee, Lutong Wang
Author Affiliations +
Abstract
Self-aligned multiple patterning (SAMP), due to its low overlay error, has emerged as the leading option for 1D gridded back-end-of-line (BEOL) in sub-14nm nodes. To form actual routing patterns from a uniform "sea of wires", a cut mask is needed for line-end cutting or realization of space between routing segments. Constraints on cut shapes and minimum cut spacing result in end-of-line (EOL) extensions and non-functional (i.e. dummy fill) patterns; the resulting capacitance and timing changes must be consistent with signoff performance analyses and their impacts should be minimized.

In this work, we address the co-optimization of cut mask layout, dummy fill, and design timing for sub-14nm BEOL design. Our central contribution is an optimizer based on integer linear programming (ILP) to minimize the timing impact due to EOL extensions, considering (i) minimum cut spacing arising in sub-14nm nodes; (ii) cut assignment to different cut masks (color assignment); and (iii) the eligibility to merge two unit-size cuts into a bigger cut. We also propose a heuristic approach to remove dummy fills after the ILP-based optimization by extending the usage of cut masks. Our heuristic can improve critical path performance under minimum metal density and mask density constraints.

In our experiments, we study the impact of number of cut masks, minimum cut spacing and metal density under various constraints. Our studies of optimized cut mask solutions in these varying contexts give new insight into the tradeoff of performance and cost that is afforded by cut mask patterning technology options.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kwangsoo Han, Andrew B. Kahng, Hyein Lee, and Lutong Wang "ILP-based co-optimization of cut mask layout, dummy fill, and timing for sub-14nm BEOL technology", Proc. SPIE 9635, Photomask Technology 2015, 96350E (23 October 2015); https://doi.org/10.1117/12.2199299
Lens.org Logo
CITATIONS
Cited by 9 scholarly publications and 2 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Metals

Photomasks

Back end of line

Source mask optimization

Capacitance

Optical lithography

Image segmentation

Back to Top