Paper
23 March 2016 Reactive ion etching challenges for half-pitch sub-10-nm line-and-space pattern fabrication using directed self-assembly lithography
Yusuke Kasahara, Yuriko Seino, Hironobu Sato, Hitoshi Kubota, Hideki Kanai, Naoko Kihara, Shinya Minegishi, Ken Miyagi, Toshikatsu Tobana, Masayuki Shiraishi, Katsutoshi Kobayashi, Katsuyoshi Kodera, Hitoshi Yamano, Yoshiaki Kawamonzen, Tsukasa Azuma
Author Affiliations +
Abstract
Directed self-assembly is a candidate process for sub-15-nm patterning applications. It will be necessary to develop the DSA process fully and consider process integration to adapt the DSA process for use in semiconductor manufacturing. We investigated the reactive ion etching (RIE) process for the fabrication of sub-10-nm metal wires using the DSA process and the process integration requirements for electrical yield verification. We evaluated the process using an organic high-chi block copolymer (BCP) with a lamellar structure. One critical issue during DSA pattern transfer involves the BCP bottom connection. The BCP bottom connections could be removed without BCP mask loss by using the optimum bias power and the optimum BCP film thickness. The sub-10-nm DSA line-and-space (L/S) patterns were successfully transferred to a SiO2 layer with sufficient film thickness for the fabrication of the metal wire. We also evaluated the overlay technique used in the process. The connect patterns and cut patterns were overlaid on 10-nm trenches fabricated by the DSA process.
© (2016) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Yusuke Kasahara, Yuriko Seino, Hironobu Sato, Hitoshi Kubota, Hideki Kanai, Naoko Kihara, Shinya Minegishi, Ken Miyagi, Toshikatsu Tobana, Masayuki Shiraishi, Katsutoshi Kobayashi, Katsuyoshi Kodera, Hitoshi Yamano, Yoshiaki Kawamonzen, and Tsukasa Azuma "Reactive ion etching challenges for half-pitch sub-10-nm line-and-space pattern fabrication using directed self-assembly lithography", Proc. SPIE 9782, Advanced Etch Technology for Nanopatterning V, 97820P (23 March 2016); https://doi.org/10.1117/12.2219081
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Reactive ion etching

Directed self assembly

Photomasks

Metals

Scanning electron microscopy

Optical lithography

Silica

Back to Top