Paper
7 March 2008 22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)
Chris Bencher, Yongmei Chen, Huixiong Dai, Warren Montgomery, Lior Huli
Author Affiliations +
Abstract
Self-aligned double patterning (SADP) is a patterning technique that uses CVD spacers formed adjacent to a core (template) pattern that is defined by conventional lithography. After stripping the core (template) material, the spacers serve as a hardmask with double the line density of the original lithographically defined template. This integration scheme is an alternative to conventional double patterning for extending the half-pitch resolution beyond the current lithography tool's half-pitch limit. Using a positive tone (spacer as mask) approach, we show capability to create 22nm line and space arrays, on 300mm wafers, with full wafer critical dimension uniformity (CDU) < 2nm (3 sigma) and line edge roughness (LER) < 2nm. These 22nm line and space results stem from template lithography using 1.2NA 193nm water immersion lithography. In this paper, we also demonstrate lot to lot manufacturability, the patterning of two substrate types (STI and silicon oxide trench), as well as demonstrate the formation of gridded design rule (GDR) building blocks for circuit design.
© (2008) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Chris Bencher, Yongmei Chen, Huixiong Dai, Warren Montgomery, and Lior Huli "22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)", Proc. SPIE 6924, Optical Microlithography XXI, 69244E (7 March 2008); https://doi.org/10.1117/12.772953
Lens.org Logo
CITATIONS
Cited by 101 scholarly publications and 25 patents.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Double patterning technology

Etching

Optical lithography

Line edge roughness

Lithography

Chemical vapor deposition

Back to Top