Paper
4 October 2011 Illumination pupilgram prediction and control method in advanced optical lithography
Author Affiliations +
Abstract
Source Mask Optimization (SMO) is one of the most important techniques available for extending ArF immersion lithography. The combination of freeform source shape and complex mask pattern, determined by SMO, can extend the practical resolution of a lithography system. However, imaging with a small k1 factor (~0.3 or smaller) is very sensitive to many imaging parameters, such as illumination source shape error, lens aberration, process property, etc. As a result, care must be taken to insure that the source solution from SMO can be produced by the real illuminator, which is subject to its own imaging constraints. One approach is to include an illuminator simulator in the SMO loop so that only realizable illumination pupils are considered during optimization. Furthermore, the real source shape must be re-adjusted to realize expected imaging performance as may be seen, for example, in an Optical Proximity Effect (OPE) curve. In this paper we present and describe both the illuminator simulator, which can predict the real pupilgram on the exposure tool quickly, and an illumination pupilgram re-adjustment method that can effectively control the various illumination parameters to get optimum imaging performance, which is required for the lithography process design. The adjusting method uses pupilgram modulation functions, which are similar to Zernike polynomials used in wavefront aberration analysis for lithographic projection lens, to describe the optimal pupilgram adjustment, and the resulting modulation can then be realized by the illuminator system.
© (2011) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Tomoyuki Matsuyama, Naonori Kita, and Daniel G. Smith "Illumination pupilgram prediction and control method in advanced optical lithography", Proc. SPIE 8171, Physical Optics, 81710L (4 October 2011); https://doi.org/10.1117/12.899032
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Modulation

Distortion

Fiber optic illuminators

Point spread functions

Source mask optimization

Lithographic illumination

Ray tracing

Back to Top