Paper
20 March 2015 DSA graphoepitaxy calibrations for contact hole multiplication
Author Affiliations +
Abstract
Directed Self-Assembly (DSA) is one of the leading candidates for next generation patterning in IC manufacturing. With the continued delay of EUV and the increasing costs of evermore complex multipatterning techniques, DSA has the potential to produce small, well-defined features on a tight pitch. The graphoepitaxy DSA approach can be used to form single or multiple uniform contact holes (cylinders) well below the resolution limit of the optical exposure tool in a pre-pattern template. The utility of these patterns in the semiconductor manufacturing process is dependent on the capability of the process to control the size, edge roughness and placement of these DSA structures in the presence of reasonable levels of variation in the DSA material, the processing of that material and the pre-pattern template. In this study, a 3-D Self-Consistent Field Theory (SCFT) model has been developed to describe the behavior of such DSA systems. The utility of the simulator to describe actual physical behavior is explored, by fine tuning the SCFT model input parameters against experimental data for certain pre-pattern configurations and then evaluating the model predictions for other separate pre-pattern shapes. Two separate calibration studies are presented, one with 2-D guide patterns, in which multiple holes are positioned in a 2-D irregular array, and the other with 1-D structures, where the holes are distributed along one direction only. Pattern contours are extracted from CD-SEM images. A metric that measures the CD and placement is used to evaluate the modeled contours against the experimental contours.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Trey Graves, Alessandro Vaglio Pret, Stewart Robertson, Mark Smith, Jan Doise, Joost Bekaert, and Roel Gronheid "DSA graphoepitaxy calibrations for contact hole multiplication", Proc. SPIE 9425, Advances in Patterning Materials and Processes XXXII, 94250Y (20 March 2015); https://doi.org/10.1117/12.2086137
Lens.org Logo
CITATIONS
Cited by 3 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Calibration

Directed self assembly

Polymethylmethacrylate

3D modeling

Data modeling

Scanning electron microscopy

Optimization (mathematics)

RELATED CONTENT

Advanced OPC Mask-3D and Resist-3D modeling
Proceedings of SPIE (March 31 2014)
Resist loss in 3D compact modeling
Proceedings of SPIE (March 13 2012)
3D AFM tip to tip variations and impact on measurement...
Proceedings of SPIE (April 01 2010)
Modeling for solder self-assembled MEMS
Proceedings of SPIE (April 20 1998)

Back to Top