Paper
4 September 2015 Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection
Author Affiliations +
Proceedings Volume 9661, 31st European Mask and Lithography Conference; 96610O (2015) https://doi.org/10.1117/12.2196120
Event: 31st European Mask and Lithography Conference, 2015, Eindhoven, Netherlands
Abstract
The new device architectures and materials being introduced for sub-10nm manufacturing, combined with the complexity of multiple patterning and the need for improved hotspot detection strategies, have pushed current wafer inspection technologies to their limits. In parallel, gaps in mask inspection capability are growing as new generations of mask technologies are developed to support these sub-10nm wafer manufacturing requirements. In particular, the challenges associated with nanoimprint and extreme ultraviolet (EUV) mask inspection require new strategies that enable fast inspection at high sensitivity. The tradeoffs between sensitivity and throughput for optical and e-beam inspection are well understood. Optical inspection offers the highest throughput and is the current workhorse of the industry for both wafer and mask inspection. E-beam inspection offers the highest sensitivity but has historically lacked the throughput required for widespread adoption in the manufacturing environment. It is unlikely that continued incremental improvements to either technology will meet tomorrow’s requirements, and therefore a new inspection technology approach is required; one that combines the high-throughput performance of optical with the high-sensitivity capabilities of e-beam inspection.

To support the industry in meeting these challenges SUNY Poly SEMATECH has evaluated disruptive technologies that can meet the requirements for high volume manufacturing (HVM), for both the wafer fab [1] and the mask shop. Highspeed massively parallel e-beam defect inspection has been identified as the leading candidate for addressing the key gaps limiting today’s patterned defect inspection techniques. As of late 2014 SUNY Poly SEMATECH completed a review, system analysis, and proof of concept evaluation of multiple e-beam technologies for defect inspection. A champion approach has been identified based on a multibeam technology from Carl Zeiss. This paper includes a discussion on the need for high-speed e-beam inspection and then provides initial imaging results from EUV masks and wafers from 61 and 91 beam demonstration systems. Progress towards high resolution and consistent intentional defect arrays (IDA) is also shown.
© (2015) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Matt Malloy, Brad Thiel, Benjamin D. Bunday, Stefan Wurm, Vibhu Jindal, Maseeh Mukhtar, Kathy Quoi, Thomas Kemen, Dirk Zeidler, Anna Lena Eberle, Tomasz Garbowski, Gregor Dellemann, and Jan Hendrik Peters "Enabling inspection solutions for future mask technologies through the development of massively parallel E-Beam inspection", Proc. SPIE 9661, 31st European Mask and Lithography Conference, 96610O (4 September 2015); https://doi.org/10.1117/12.2196120
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Inspection

Photomasks

Semiconducting wafers

Defect inspection

Extreme ultraviolet

Imaging systems

Optical inspection

Back to Top