Presentation
13 June 2022 The future of MBMW multi-beam mask writers
Christof Klein, Hans Loeschner, Elmar Platzgummer
Author Affiliations +
Abstract
Since the initial introduction of the MBMW multi-beam mask writer tool series in 2016, IMS’ multi-beam technology has continuously improved and matured. With more than 30 MBMW tools being used for mask production worldwide, multi-beam has become an established technology for the most advanced mask nodes. Especially for EUV masks multi-beam has become the standard solution due to its superior write performance at mid&low sensitivity resists and its ability to pattern even the most complex curvilinear structures at maximum throughput. In this paper the performance and reliability of the two existing MBMW generations MBMW-101 and MBMW-201 will be compared and the advantages of IMS’ multi-beam technology will be highlighted. Furthermore, the next two MBMW tool generations MBMW-261 and MBMW-301 will be introduced, which are designed for the 3nm and 2nm foundry technology nodes, respectively. Finally, the extendibility of MBMW tools to the sub-2nm foundry / the Intel 1xA nodes will be discussed.
Conference Presentation
© (2022) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Christof Klein, Hans Loeschner, and Elmar Platzgummer "The future of MBMW multi-beam mask writers", Proc. SPIE PC12054, Novel Patterning Technologies 2022, PC120540H (13 June 2022); https://doi.org/10.1117/12.2618052
Advertisement
Advertisement
KEYWORDS
Extreme ultraviolet

Reliability

Standards development

RELATED CONTENT


Back to Top