2 December 2013 Inverse e-beam lithography on photomask for computational lithography
Author Affiliations +
Abstract
Computational lithography, e.g., inverse lithography technique (ILT) and source mask optimization, is considered necessary for the “extremely low k1” lithography process of sub-20 nm device node. The ideal design of a curvilinear mask for computational lithography requires many changes during photomask fabrication. These range from preparation of the mask data to measurement and inspection. The manufacturability of a photomask for computational lithography is linked to predictable and manageable quality of patterning. Here, we have proposed the use of “inverse e-beam lithography” on photomask for computational lithography, which overcomes the patterning accuracy limits of conventional e-beam lithography. Furthermore, the preferred target design for ILT, a new verification method, and the accuracy required for the mask model are also discussed; with consideration of acceptable writing time (<24  h ) and computing power.
© 2014 Society of Photo-Optical Instrumentation Engineers (SPIE) 0091-3286/2014/$25.00 © 2014 SPIE
Jin Choi, Ji Soong Park, In Kyun Shin, and Chan-Uk Jeon "Inverse e-beam lithography on photomask for computational lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 13(1), 011003 (2 December 2013). https://doi.org/10.1117/1.JMM.13.1.011003
Published: 2 December 2013
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Electron beam lithography

Computational lithography

Lithography

Manufacturing

Data modeling

Optical proximity correction

Back to Top