Open Access
5 June 2023 Review of optical direct-write technology for semiconductor manufacturing
Author Affiliations +
Abstract

Optical direct-write technology has been studied since the successful development of the micromirror-based spatial light modulators in the 1990s. It is expected to have advantages over electron beam direct-write in the viewpoints of higher productivity and common resist process with existing semiconductor manufacturing. In the 2000s, there was an effort to develop an optical direct-write system using deep ultraviolet (DUV) excimer laser and grayscale tilt micromirror devices, aiming at low-volume manufacturing of semiconductor devices. Recently, a new scheme of optical direct-write, called the digital scanner, has been demonstrated. It uses solid-state DUV laser and digitally controlled spatial light modulator. Past development activity of optical direct-write is reviewed with discussion of the merits and demerits of the proposed technological options in the new system, comparing them to past efforts.

1.

Introduction: History of Optical Direct-Write

1.1.

Micromirror Device and Optical Direct-Write Concept

Since the first proposal of the micromirror device,1,2 the idea of a direct-write exposure system (maskless exposure system) using this device has been discussed.3 The basic structure of the direct-write system was already described as shown in Fig. 1, that is, a light source, a micromirror device as programmable mask, projection optics, and a silicon wafer mounted on movable stage.

Fig. 1

Proposal of optical direct-write system in the 1990s.3 (Figure provided by Texas Instruments.)

JM3_22_4_041402_f001.png

1.2.

Digital Micromirror Device as the First Product Spatial Light Modulator

A successful product of a spatial light modulator (SLM) of a digital micromirror device (DMD) became available in the 1990s. It was first called a deformable mirror device,1,2 but now it is known as a DMD.4 Early-phase DMD was reported4 to have the micromirror size of 16×16  μm with pitch 17  μm, pixel numbers are 768×576, total 442,368 micromirrors, which means the effective pixel matrix area of 13.1×9.8  mm. The switching time of the micromirror was <20  μs. It became commercially available and applied to mass production products, such as PC projectors. It is natural that people thought that optical direct-write systems can be constructed using DMD or similar micromirror device SLMs.

1.3.

Comparing with Electron Beam Direct-Write Systems

Also at that time, electron beam direct-write systems were already developed, but the optical direct-write system has been expected to have the advantages of higher productivity and common resist process with mask-based optical lithography, used for high-volume manufacturing of the semiconductor devices. Actual productivity of commercially available electron beam direct-write tools has been rarely reported, but one paper5 reports that the overall writing time on a 150-mm wafer was 4 to 5 h, for patterns with minimum line width 0.2  μm. It is equivalent to 0.04 to 0.06 wafer per hour (wph) of 300-mm wafer throughput, much lower than the estimated throughput 0.5 to 20 wph of optical direct-write systems, which are described in Sec. 6.3.

1.4.

Micronic and ASML Direct-Write Systems

In the 2000s, there was actual development of optical direct-write exposure systems. One effort was a Micronic and ASML collaboration,6,7 whereas the SLM technology was developed by Fraunhofer Institute.8,9

The target application of this development was small-volume manufacturing of semiconductor devices at foundries. In this area, although the number of wafers produced is small, the mask number and cost are relatively high. So, the direct-write system was expected to reduce the cost of the mask set.

This exposure system was designed to use a SLM, which has analog-controlled tilt micromirrors that is different from DMD (which has digitally controlled tilt micromirrors). By the effect of analog control, grayscale amplitude control of the pixel is possible, then subpixel pattern-edge control was expected possible as described in Sec. 5.2.

Their light source was wavelength 248- (KrF) or 193-nm (ArF) excimer lasers with repetition rates in the kilohertz range, which are commonly used for conventional mask-based scanners.

The system was carefully designed based on the technologies, which were available at the time. Unfortunately, it did not lead to actual commercial products.

1.5.

Low Resolution Direct-Write Tools with DMD and Light Emitting Diode Light Source

There were other maskless exposure tool developments; some of them use a commercially available DMD as the SLM and ultraviolet light-emitting diodes (UV LEDs) for the light source.10 Several products have become commercially available. In general, this type of exposure tool has resolution capability larger than 0.5  μm, not enough for the semiconductor manufacturing of the 2000s or later. They have, though, been useful for other various purposes, including microelectromechanical systems (MEMS) fabrication, patterning for printed circuit board, or other low-resolution applications.

1.6.

Additional Expectations for Direct-Write Systems

In recent years, additional expected applications of the direct-write system have been presented. One is a security purpose,11,12 for which identification mark (chip ID) is printed in every chip. Using the ID, we can avoid false chips that mimic authentically produced devices. It is also expected that use of chip IDs can protect internet communications from unauthorized actions.

Another expectation appeared for large area device fabrication of silicon wafer scale (such as 20  cm×20  cm), to produce artificial intelligence (AI) electronics.13 When we try to make such big devices using conventional mask-based scanners, we need to stitch the patterns of a conventional scanner’s shot size (26  mm×33  mm). In this case, there are pattern design restrictions to tolerate a certain amount of stitching error. But for the direct-write systems, there are no limitations to have large-scale patterning within a silicon wafer.

Such wide area printing is also required for the silicon interposer fabrication, which is used for heterogeneous integrations.14

1.7.

Digital Scanner Technology

Recently, a technology called the digital scanner (DS) was introduced.1518 Figure 2 shows schematic diagram of DS, which uses deep UV (DUV) solid-state laser of wavelength 248 or 193 nm as the light source, and a SLM whose parameters and operation are different from past SLMs. It has DUV lithography resolution <100  nm, the same resolution as that of conventional mask DUV scanners.

Fig. 2

Schematic diagram of DS.15

JM3_22_4_041402_f002.png

Unlike previous systems with continuous analog control, each pixel mirror in the current SLM has a binary state (digitally controlled), and the optics are designed so that projected pixel size on the silicon wafer is sufficiently smaller than optical resolution. The SLM’s micromirror motion can be either piston or tilt.

Various patterning demonstrations on 200- and 300-mm silicon wafers were done using a DS proof-of-concept (DS-POC) exposure system, whose numerical aperture (NA) is 0.675 and exposure wavelength is 193 nm. Exposed samples1518 include half-pitch 80-nm line and space pattern, 180-nm-logic integrated circuit patterns, chip-ID printing, subpixel pattern edge control, a mixture of different chips on a wafer, and large-size patterning including meta lens and silicon interposer for heterogeneous integration. Those are the realizations of the expected performance of the optical direct-write system designed for semiconductor manufacturing at DUV resolution.

2.

Basic Structure of Optics for Direct-Write

An optical direct-write system consists of imaging optics, which contains the SLM, data path that generates pixel data and transfers them to the SLM, and synchronization system that controls the scanner system.7,15 In the following, those major parts are described with the discussion on several possible options.

2.1.

Basic Structure of the Imaging Optics

Direct-write optical configuration7,15 is like conventional mask-based scanners except that the mask is replaced with the SLM. In general, the SLM is a reflection element so the optical configuration should be designed for a reflection mask, which is the same condition as the extreme UV (EUV) exposure systems.

2.2.

SLM Pixel Imaging by Projection Optics

A typical direct-write system has one precisely designed projection optics with low aberrations, which projects the area of SLM micromirrors to the reduced image on the silicon wafer.

The pixel size projected on the silicon wafer can be smaller than the optical resolution.1518 In this case, the light intensity of a point on the wafer is determined by the interference of multiple light amplitude, which comes from multiple pixels within the area of optical point spread function (PSF). The number of contributing pixels is calculated by the ratio of pixel size and PSF diameter (1.22×λ/NA, where λ is exposure light wavelength).

The resolution limit (half pitch) of this type of optical system for line and space pattern is 0.25×λ/NA, which is the same as conventional mask-based scanners, assuming the pixel size is small enough. This is the mainstream configuration of optical direct-write scanners for semiconductor manufacturing.

In the system design, the projected pixel size, or more exactly, pixel pitch on the silicon wafer is determined by the actual micromirror pitch of SLM and reduction magnification ratio of the projection optics. As reference, projected pixel pitch from 40 to 10 nm is described assuming various conditions of optical direct-write systems.7,1519 It should be noted that the projected pixels are blurred on the silicon wafer because the optical resolution is larger than the pixel pitch.

2.3.

Incoherent Pixel System with Lens Array Optics

In the history of the optical direct-write development, there are other variations of the optical configuration proposed and studied. A concept is that the projection optics consist of lens arrays, whose small lens element corresponds to a pixel of SLM.20 One light beam is modulated by a pixel of the SLM. In this case, each beam does not interfere to other beams. This concept has been understood by the analogy with the multiple electron-beam direct-write. The patterning resolution of this configuration is determined by the beam size (PSF) of the diffraction limit, that is, Airy disk whose diameter is 1.22×λ/NA. It is larger than the limit of usual optical lithography. This type of configuration is not preferred for semiconductor manufacturing, where finer resolution is required.

2.4.

Incoherent Pixels by Large Size Pixel

Another idea for direct-write comes from analogy of PC projectors. In this case, the projected pixel size is designed to be larger than the optical resolution, so the square shape of DMD pixel can be observed at the screen. The resolution of the system is determined by the size of projected pixels. It is also not preferred for semiconductor manufacturing.

3.

SLM Micromirrors and Imaging

3.1.

SLM Micromirror Size and Number, Projection Optics Magnification

A larger number of small-size micromirrors are preferred to have high productivity of the direct-write systems. Considering the current level of MEMS fabrication, several micrometers is the typically feasible size of the micromirror. Actual micromirror sizes of SLMs have been reported: two cases are 16  μm,4,7 and another case is 8  μm.21

In one SLM, the total micromirror numbers of several thousand by several thousand has been reported,21 that is, total pixel numbers of about 10 to 20 megapixels (M pixels) on a SLM. The actual area size of SLM is several cm by several cm.

Once that is set, the projection optics reduction ratio (reduction magnification) is designed based on the ratio between actual size of the micromirror and target pixel size on the wafer. Typical reduction ratio is 100× or 500×, much larger than the conventional mask scanner of 4×.

The field size of the projection lens is typically <1  mm, much smaller than that of conventional scanners (26 mm). To cover the usual chip size of the semiconductor devices by exposure, we need a scan and stitch exposure sequence described in Sec. 6.2.

3.2.

SLM Micromirror: Tilt or Piston

The micromirror device consists of pixel mirrors operating by tilt or piston motion.2225 The reflected light wavefront is modulated by the mirror surface of multiple micromirror pixels of angle (tilt) or position (piston) modulations.

3.2.1.

Tilt Micromirror

In case of the tilt micromirror, the reflected light is modulated to have a tilted wavefront after reflection. If the wavefront is tilted, the light amplitude passing through the pupil of the projection optics decreases, so that the spot intensity on the silicon wafer corresponding the pixel is decreased.2224 Roughly speaking, a tilt micromirror works as an amplitude modulator of a pixel.

As shown in Fig. 3(a), when the tilt angle is 0, the reflected light amplitude is unchanged, the amplitude state is described as 1. On the other hand, when the tilt angle reaches a certain value, amplitude becomes 0. The zero-amplitude condition occurs when the two micromirror edges of up and down sides are ±λ/4 where λ is the illumination wavelength. If the tilt mirror angle is intermediate of the above conditions, amplitude modulation is an intermediate value between 1 and 0.2224 This is grayscale status, which can be utilized to adjust the projected pattern edge position.6,7,22 Details of pattern edge adjustment are described in Sec. 5.2.

Fig. 3

Simplified sketch of micromirror modulation methods of (a) tilt and (b) piston, with modulated optical intensity.

JM3_22_4_041402_f003.png

Some variations of tilt mirror schemes have been proposed. One is over tilt modulation23,24 in which tilt movement is larger than λ/4, so the reflected light has several nonzero small amplitudes, but the phase is inverted, which is equivalent to the phase shifter of attenuated phase shift mask. Another variation is step mirror structure, which has λ/4 step at the center line on the micromirror surface.23,24 In this case, zero-tilt mirror generates zero amplitude, and the tilted pixel has a phase-shifted amplitude.

3.2.2.

Piston Micromirror

There is another promising modulation method of the micromirror operation: piston motion [Fig. 3(b)].2225 This pixel mode makes a parallel shift of the wavefront. When the piston stroke is set to λ/4, the phase shift of the reflected light becomes λ/2. Sometimes the modulation is simply expressed by 1 (not modulated) and 1 (phase modulated by λ/2). It is similar to a chromeless phase shift mask.

This system creates a light intensity pattern on the silicon wafer by combining other pixel’s phase modulated light. The projected pixel pitch on the wafer should be smaller than the optical resolution to utilize this multiple pixel interference.

As shown in Fig. 3(b), we can simply imagine a dark area is generated by a checkerboard pattern of 1 and 1 as the negative interference result, and a bright area is generated by a flat pixel area of all 1 pixels or all 1  pixels. For other general cases, we cannot imagine the resultant intensity images intuitively and need computer calculation to predict the intensity patterns on the silicon wafer.

Inversely, if the desired intensity profile on the silicon wafer is given, we need more complicated computer calculation to solve the optimal phase pixel distribution of the SLM. This process was difficult and time-consuming in the past, but now has become possible using high-speed processors.

3.3.

Case of Very Small Size Pixel of SLM and Pixelated Phase Mask

There are historical reports that have similar concept to the piston micromirror imaging. It is pixelated phase mask proposed by Intel.26 Basic pixel function is the phase shifter that is the same as of piston micromirror pixel. But there is a difference at the actual size of the pixel, compared with the exposure wavelength. In the actual cases of direct-write systems with 100× or 500× reduction ratio, the micromirror size is large compared with the wavelength λ of the exposure light, 248 or 193 nm. But in the case of pixelated phase mask using 4× masks, pixel pitch can be smaller than λ/2 (cut off pitch), then the phase modulation by diffraction does not transmit to the projection optics, becoming near field modulation. The light passes through the mask as the non-diffracted (zero-order) light without modulation. A pixel pitch of 96 nm on the glass mask (corresponding pitch 24 nm on the wafer) is the “cut off” size of the pixelated phase mask in the case of 193-nm illumination. On the other hand, the cut-off condition does not happen with actual direct-write systems.

3.4.

Telecentricity and Reflection Type Mask

In general, optics design is categorized as telecentric and nontelecentric configurations. In case of the telecentric design, all the chief rays are parallel to the optical axis. This condition is widely used for conventional DUV mask-based scanners with transmission-type photomasks. It has the advantage that magnification does not change even if the photomask position changes along the optical axis (i.e., a focus error does not induce further errors). On the other hand, when the photomask is reflection type, such as EUV photomask or SLM for direct-write, telecentric design is generally difficult at mask side with simple optical configuration.

For instance, use of a beam splitter is sometimes suggested, to combine and separate the incident light and reflected light on the SLM. In that case, we can realize the telecentric optical design. But simple beam splitter has demerit of total optical power efficiency lower than 25%. If we use polarized beam splitter and quarter-wave plate, we can have near 100% efficiency, but we cannot apply polarized illumination, in which polarization vector has arbitrary distribution in the illumination pupil. The polarized illumination is needed for higher NA optics, such as NA 1.35 immersion optics. If we limit the direct-write optics to lower NA, we may use the polarized beam splitter, but considering the compatibility of the optics design to high NA condition with polarized illumination, it may not be a good choice to use the polarized beam splitter.

In the actual nontelecentric design for the direct-write system, SLM side has very small NA (such as NA 0.005) so that adjustment of SLM distance and magnification can be done simultaneously, with reasonable tolerance.

3.4.1.

Telecentricity of optics for DMD

This telecentricity problem can be alleviated with a tilt type SLM of DMD. Its micromirror does not have zero-tilt status (parallel to substrate), but it has two digitally tilted status with seesaw structure (+tilt and −tilt).4 The micromirror tilt angle is larger than ±10  deg.

Illumination optics and projection optics using DMD have a specific configuration that the illumination light has angled axis of twice of the mirror +tilt angle, but the optical axis of the projection optics is vertical to the DMD substrate. This configuration is shown in the Fig. 1. With this setting, +tilt pixel is the “on” pixel and −tilt pixel is the “off” pixel.

Thanks to the nature of DMD, the projection optics can be telecentric on the DMD side and silicon wafer side.

4.

SLM Driving Electronics and Synchronization

4.1.

Micromirror Driving Electronics 1: Memory Matrix Structure

SLM micromirrors are driven by the electric field generated by the voltage applied on the electrodes formed under the micromirrors. Electrodes are connected to CMOS or other driving electronics installed in the underlayers of micromirror structures.4,21

DMD or most of the SLMs have adopted a kind of memory structure for driving electronics.4,8,9,21 Memory structure is good to address the pixel position using a bit cell addressing method of the memory, and the voltage keeping function of the memory is useful for keeping the tilt or piston status of the micromirror for necessary time.

DMD is reported to have static random access memory (SRAM) structure for the electronics,4 and other SLMs seems to have dynamic random access memory (DRAM)-like structure.8,9,21 In case of DRAM-like structure, the capacitor of one cell (one pixel) can have an analog-controlled charge; that means, it is possible to keep analog controlled voltage on the electrode to have an analog controlled grayscale tilt-angle micromirror.

4.2.

Data Loading Speed to the SLM: Memory Matrix Case

General memory devices, such as SRAM or DRAM, use a matrix structure for data addressing. The matrix consists of row line group (word line) and column line group (bit line). Two line-groups cross perpendicularly. Having activated only one row line, data are applied through the column lines, and stored at cross-point cells. Changing the activation of one row line to another row line, another dataset is written. The whole data are loaded after activating all row lines and writing all column data, that is, addressing all the crosspoints of the matrix (pixels).

For this memory matrix driving method, all the pixels in SLM should be rewritten before the next illumination light pulse comes to the SLM. Usually, the data loading frequency (frame rate) of the memory matrix SLM is several kilohertz to several tens of kilohertz (such as 6 to 30 kHz).4,7,21 This speed is high enough for PC projectors and other commercial applications of DMD, but it is sometimes slower than the ideal requirement for direct-write applications.

4.3.

Micromirror Driving Electronics 2: Shift Register with Higher Speed

Another method has been reported for the data loading for SLM: a shift register.15 In the typical function of a shift register, data are written at the first row (top end or bottom end) by the voltage of column lines connected to the first row. At the clock timing, all the data on the row move to the next row while new data are supplied to the first row. The data shift happens at all row lines at the same clock timing (shift register function). All the pattern data are supplied from the first row, and the pattern moves in one direction (downwards or upwards) on the SLM area. This scheme is adopted by the SLM for the DS.

It is like scanning motion of a photomask of the conventional scanner system, except that the pattern movement is discrete at shift register.

The data loading frequency can be higher than megahertz. This data loading method is good for scanning exposures of the direct-write system by combining a high repetition rate pulsed laser.

4.4.

Laser Pulse Timing for Scanning Motion

In general, optical direct-write systems use a scanning exposure sequence.6,7,1518 In the scanning exposure, silicon wafer motion is a continuous motion because moving wafer stage has some amount of inertia as well as high speed. On the other hand, SLM pattern change happens at discrete clock timings.

We therefore need to use a pulsed light source for the direct-write scanning exposure. Pulse emission timing should be precisely controlled so that laser pulse emission happens when the projected SLM pattern image comes on the correct position of the silicon wafer. Detailed condition is described in Sec. 6.1.

Note that in conventional mask-based scanners, mask and wafer stages move continuously and their mutual positions are servo-controlled. Laser pulse timing does not affect the position of the pattern so the pulse timing control is relaxed, and even continuous light source can be used.

4.5.

Laser Pulse Frequency

4.5.1.

Excimer KrF and ArF lasers of kilohertz frequency

The DUV laser for conventional mask-based scanner has been an excimer laser with KrF (wavelength 248 nm) or ArF (193 nm) as the light source. They are gas lasers of repetition rate at several kilohertz (kHz).

With the combination of SLMs with memory matrix driving electronics,4,7,21 these kinds of excimer lasers are useful light source because the laser pulse repetition frequency and SLM data loading frequency are in the similar kilohertz range. In this case, we must be careful about the exposure pulse numbers applied on a point of the silicon wafer. Considering small SLM image on the wafer, relatively high scanning speed, and kilohertz laser pulse frequency, we can estimate that only a few pulses (such as two pulses) are exposed to one point of the silicon wafer.

This brings about the necessity of careful control of dose energy6,7 because we cannot expect an averaging effect of fluctuating pulse energy by applying multiple number of pulses.

At the same time, we must be careful about the pixel defect of SLM. If a pixel on SLM is defective, it will be highly probable to be printed with this small number pulse exposures. High quality, zero-defect SLM is required.

4.5.2.

Solid state DUV lasers of megahertz frequency

Recently, relatively high power DUV solid lasers have been developed.15,27 The wavelengths of 193 or 248 nm are available with high repetition frequency such as 1 or 2 MHz. Pulse duration can be several nanoseconds. Repetition frequency and pulse duration are good for the light source of direct-write scanning exposures systems with the shift register SLMs, which has megahertz order pattern changes.

With the shift register driven SLM, and megahertz pulse repetition rate of the solid-state laser, it realizes the many numbers of laser pulses (more than 100 pulses) are exposed on one point of the pattern, shifting the pattern on the SLM.15 In this case, even if a pixel is defective, the effect of the defective pixel is diluted by the other healthy multiple pixels’ exposure. This tolerance against defective pixel is helpful to have practical SLM devices, which have very few but a finite number of defective pixels.

The current DS system uses a solid-state pulsed laser with 248- or 193-nm wavelength. This offers the same wavelength of illumination as conventional mask scanners and a megahertz pulse frequency that is compatible with the shift-register type SLM, and that offers enough pulses to have good dose control and averaging of pixel defects.

4.5.3.

Motion blur and timing jitter

Pulse length (pulse duration) of the laser light source should be short enough because the wafer stage motion is continuous, whereas the pattern change on the SLM is discrete (see Sec. 6.1 and Fig. 5).6,7 That means that finite pulse length will create motion blur. Usually, we need to limit the motion blur within several nanometers, so that less than several nanoseconds of laser pulse length is required for the typical scanning speed of the wafer stage at several hundred mm/s (such as 500  mm/s).

Similarly, we should be careful about timing jitter of the laser pulse emission.6,7 If jitter is large, we have position errors of the projected pattern.

5.

Data Path

A direct-write system is characterized with the high data volume of the exposure data and high-speed data path.19

5.1.

Data Volume and Data Transfer Speed

The total amount of data volume needed for one wafer exposure can be simply calculated by the area of a silicon wafer (such as 700  cm2 for 300 mm wafer, 310  cm2 for 200 mm wafer) and area of a pixel (such as 10×10  nm pixel area).19 If grayscale pixels are used, the bit number for making the gray levels should be considered. Table 1 shows typical cases of the pixel data volumes.

Table 1

Data volume and data transfer speed for several cases of direct-write systems.

Case 1Case 2Case 3
Pixel size on wafer (nm)302010
Gray level64 (6 bit)16 (4 bit)2 (1 bit)
Total pixels on 300-mm wafer (Tpixel)78175700
Total bit on 300-mm wafer (Tbit)470700700
Data transfer speed for 10 wph (Tbit/s)1.32.02.0

The maximum data volume in the Table 1 is 700 terabits (Tbit) per wafer (300-mm wafer and 10-nm digital pixel). Such large size data should be stored in storage devices before being transferred to the SLM. This data volume with high-speed data read-out was challenging in the past, but now it is possible by the progress of storage device technology based on NAND flash memory.

If we assume 10-wph throughput for a direct-write exposure system, we can calculate the required data transfer speed by dividing the data volume of 700 Tbit by the time of 360 s (0.1 h). It is calculated such as 2.0  Tbit/s; by another unit, it is 250  GByte/s.

Recently, high-speed optical fiber communications over 10  Gbit/s have become available. By binding multiple optical fiber channels, we can have such high-speed data transfer lines.

5.2.

Pixel Data Preparation

In general, pattern data are given by GDS II or OASIS format. Such data should be converted to pixel data before being sent to the SLM.6,7,1518 Pixel data conversion methods should be considered according to the different types of the amplitude pixels (tilt micromirrors) or phase pixels (piston micromirrors), as well as grayscale pixels or digital pixels.

5.2.1.

Tilt mirror: amplitude modulation pixel

In general, tilt pixels are considered as amplitude modulated pixels. First, we try to consider a case that we can ignore optical proximity correction (OPC). The basic pixelation is simple: bright position should be zero-tilt pixels, and dark position should be tilted pixels.

Pixel size is generally larger than the required position accuracy of the pattern edge; we need subpixel pattern edge control.

One control technique is using analog grayscale pixels [shown in Fig. 4(a)].6,7,22 If a pixel is at the pattern edge, we can control the pixel amplitude so the light intensity at the pattern edge can be the desired value. This pixelation algorithm seems to be simpler compared with the following digital pixel patterning, so that high speed pixelation calculation is expected.

Fig. 4

Concepts of subpixel pattern edge control method with tilt micromirror SLM. (a) Grayscale tilt pixel and (b) mixture of small digital tilt pixels. Optical intensity curves (solid curves) can be fine-tuned by changing (a) the grayscale tilt angle or (b) mixing ratio of tilt and flat pixels between dashed curves.

JM3_22_4_041402_f004.png

Another technique is using small pixel distribution [shown in Fig. 4(b)], which is effective for digital tilt pixels (+1 or 0). For this method, smaller pixel size is needed compared with the case of grayscale pixels. Optimal distribution of the “+1” pixels and “0” pixels need to be obtained using imaging simulation calculations. Multiple pixels are contributing to make the pattern intensity; we have degrees of freedom to adjust the pattern edge position.

This calculation was estimated to take longer time than the calculation of grayscale pixels, so it was not preferred in the past.

Now most of the fine pattern needs OPC corrections, which also needs imaging simulations in the calculation. The disadvantage of the digital small pixel becomes small.

5.2.2.

Piston mirror: phase modulation pixel

Piston mirror pixels work as phase modulation pixels. Although piston pixels can be controlled by either analog or digital modulations,2224 here we consider the digital pixels (+1 and 1). The light intensity on the silicon wafer is determined by the interference of lights from the multiple pixels. In this case also, there are multiple pixels, which contribute to pattern intensity; we have degrees of freedom to adjust the pattern edge position.1518

Imaging simulation calculation is also needed in this case, like the case of digital amplitude pixels in the above section. 16,18 The calculation time is almost the same between amplitude pixels and piston pixels if the pixel size and numbers are same.

In general, phase pixels have more degree of freedom to design the desired intensity patterns on the wafer. Because phase pixels have +1  pixel and 1  pixel, they can interfere to have zero amplitude, whereas amplitude pixels +1 and 0 cannot have such complete interference.

5.3.

Pattern Correction of OPC or Inverse Lithography Technology

The pixel calculation is related to OPC or inverse lithography technology (ILT) for conventional mask making, in which optimal polygon patterns on the conventional mask are calculated so the projected optical pattern edge is near to the target pattern edge position.28 Since the current optical direct-write scanner operates with DUV wavelength, it naturally requires OPC as well, and we may expect it to operate on similar principles. OPC of a pixelated phase mask, for instance, is already well known. Thus, similar to correcting polygon patterns on the conventional mask, we need to correct the distribution of digital pixels on the SLM.

By the recent progress of high-speed processers, conventional mask OPC/ILT calculation for a full-size chip of several cm2 is expected to be done within a reasonable time, such as 1 day. The pixelation calculation for direct-write with OPC/ILT is also expected18 to be done within a reasonable time using high-speed processors.

5.4.

Actual Data of Subpixel Pattern Control

Subpixel pattern control has been demonstrated experimentally by a direct-write system DS-POC.16,17 This system uses digital phase pixels of 40 nm on the silicon wafer. The optical resolution limit for the half pitch of line and space pattern is 71 nm in terms of 0.25×λ/NA.

The projection optics has the PSF of the first dark ring diameter of 348 nm (1.22×λ/NA) of Airy disk. There are 60  pixels inside the first ring, and 200 pixels in the second dark ring.16 Because of multiple numbers of contributing pixels to one-point optical intensity, we have the capability of subpixel pattern edge control. Experimental data16 show pattern edge control resolution <5  nm, which is <12.5% of the pixel size. Further fine pattern edge control is possible using smaller pixels.

6.

Direct-Write Exposure System

6.1.

Synchronization

Direct-write scanner should have a synchronization system different from that of conventional mask-based scanners.6,7,15,17

In case of the conventional scanners, the mask stage and wafer stage should be controlled to have the synchronized positions, as shown in Fig. 5(a). The pulse emission timing of the light source should be controlled only for keeping the exposure energy uniformity, not to have pattern position accuracy.

Fig. 5

Scanning synchronization condition of (a) mask scanner and (b) DS. Vertical lines indicate laser pule timing. Mask scanner allows timing shift of the pulses. DS requires exact pulse timing at the cross point of SLM pattern and wafer stage position.

JM3_22_4_041402_f005.png

The direct-write exposure system synchronization is much different. The SLM never moves, so its position is fixed. The pattern on the SLM needs to effectively “move” discretely, synchronized with the wafer, like a movie marquee [Fig. 5(b)]. During exposure, pattern data are effectively scanned across the face of the stationary SLM to emulate reticle scan motion of a mask-based scanner, whereas wafer stage continuously scans without stopping. A laser pulse illuminates the SLM, and its projected image is transferred to the wafer. Thus the direct-write exposure system needs to synchronize three major parts precisely to have accurate pattern position. The first part is the data loading for SLM, the second is wafer stage position, and the third is the laser pulse timing.

Since the SLM data pattern shift motion is discrete, the laser pulse emission should happen when the projected SLM pattern image comes to the expected position of the silicon wafer, which is doing continuous motion. Such three-way synchronization, as well as high-speed data path, is the new development subject of the optical direct-write exposure system.

6.2.

Scan and Stitch Exposure

The projected field size on the wafer of the direct-write systems is generally small, typically both width and height are <1  mm, respectively. Scan and stitch exposures are necessary to cover the area of semiconductor devices and to cover whole area of the silicon wafer.6,7,15,17

It is best for the scan trajectory to pass through the wafer area from the wafer edge to another wafer edge as shown in Fig. 6(a) because the number of U-turning motion needs be minimized in the whole wafer area scanning.

Fig. 6

Scanning trajectory of (a) DS. (b) Scan stripe and stitching area.

JM3_22_4_041402_f006.png

Exposed area by one scan path thus becomes a long straight narrow-width band (such as 25 cm length, 0.5 mm width), which we call as stripe. The stripe side needs to be stitched to the next stripe. When there are device patterns crossing the stitching side, they should be surely connected, and the linewidth change should be within the tolerance. To secure this stitching, we usually have overlapping area (stitching area) between the neighboring stripes as shown in Fig. 6(b), allowing small amount of positioning errors between them.15 This overlapping area should be carefully designed so the width is enough wide (such as 200 pixels or more) with gradual change of the dose intensity, which is necessary to have equivalent imaging quality of nonstitching area.

6.3.

Actually Expected Performance of Direct-Write Systems

Based on the system design discussed above, plans of actual direct-write exposure systems have been presented. Those are categorized by exposure wavelength and numerical aperture. Table 2 show the expected parameters of the DS systems.17

Table 2

Expected parameters of DS systems.

NameDS-POCDS248DS193DS193imm
Wavelength (nm)193248193193
NA0.675∼0.8∼0.9∼1.35
Target resolution (nm)∼110∼110∼65∼40
Throughput (300-mm wafer; wph) Start → Upgrade∼0.5∼2∼1∼0.5
→ ∼20 wph→ ∼10 wph→ ∼5 wph
Expected timingAvailableMid of 2020sTo be determinedTo be determined

The first product-class exposure systems will have the exposure wavelength of 248 nm (DS248). Its productivity will be limited at the starting timing. By increasing the SLM pixel numbers, productivity is expected to increase to 20 wph (300-mm wafer) in the future. In addition, resolution improvement is expected using shorter wavelength of 193 nm and higher NA optics.

6.4.

Dynamic Optimization of Illumination Pupil

It is possible to combine the direct-write exposure with a dynamically changeable pupil illumination, which uses another multimirror devices.29,30 It is effective because the field size of direct-write optics is smaller than the usual chip size of semiconductor devices. Illumination shape can be optimized for the various patterns in the semiconductor chip.

6.5.

Dynamic Position Error Correction by Pattern Data Change

It is thought that the real-time pixel data modification might be possible to improve the pattern positioning accuracy, for improving overlay or stitching condition of semiconductor device pattern. Although it is possible theoretically, in practical terms, it is very difficult with current technology levels. The data transfer rate is higher than Tbits/s. Real-time modification of such high-speed data needs further higher speed electronics.

7.

Conclusion: Digital Imaging Revolution at Semiconductor Manufacturing

Looking back at the history of general imaging technology since the 1990s, we know that we are experiencing the “digital imaging revolution”19 that involves digital cameras, digital high-definition TV, internet transmission of photographs and movies, PC projectors, digitally imaged printers, and so on. Although the digital imaging revolution has not come to lithography for semiconductor manufacturing yet, it is a historical necessity that a similar change happens in this industry. Direct-write technology is the “digital imaging” of the semiconductor manufacturing.

There are numbers of expected applications of direct-write proposed so far. One is small-volume manufacturing or rapid prototyping of semiconductor devices. Recently, there are requests of security ID printing of individual chips, large area patterning, such as AI processors, silicon interposer for heterogeneous integration, large size meta lens, and so on.

In addition, we can expect that new applications will appear when the direct-write exposure systems become realistic, and its productivity is steadily and continuously improved, like it happened at the other technologies of the digital imaging.

References

1. 

D. R. Pape and L. J. Hornbeck, “Characteristics of the deformable mirror device for optical information processing,” Opt. Eng., 22 (6), 675 https://doi.org/10.1117/12.7973222 (1983). Google Scholar

2. 

L. J. Hornbeck, “Deformable-mirror spatial light modulators,” Proc. SPIE, 1150 86 https://doi.org/10.1117/12.962188 PSISDG 0277-786X (1990). Google Scholar

3. 

W. E. Nelson, “Method and apparatus for patterning and imaging member,” US Patent 5,523,193 (1996).

4. 

J. M. Florence and L. A. Yoder, “Display system architectures for digital micromirror device (DMD) based projectors,” Proc. SPIE, 2650 193 https://doi.org/10.1117/12.237004 PSISDG 0277-786X (1996). Google Scholar

5. 

J. Scholvin, “Close-packed silicon microelectrodes for scalable spatially oversampled neural recording,” IEEE Trans. Biomed. Eng., 63 (1), 120 https://doi.org/10.1109/TBME.2015.2406113 IEBEAX 0018-9294 (2016). Google Scholar

6. 

T. Sandstrom et al., “OML: optical maskless lithography for economic design prototyping and small-volume production,” Proc. SPIE, 5377 777 https://doi.org/10.1117/12.537391 PSISDG 0277-786X (2004). Google Scholar

7. 

H. Martinsson et al., “Current status of optical maskless lithography,” J. Microlith. Microfab. Microsyst., 4 (1), 011003 https://doi.org/10.1117/1.1862649 (2005). Google Scholar

8. 

U. Ljungblad et al., “New architecture for laser pattern generators for 130 nm and beyond,” Proc. SPIE, 4186 16 https://doi.org/10.1117/12.410691 PSISDG 0277-786X (2001). Google Scholar

9. 

U. Dauderstädt et al., “Application of spatial light modulators for microlithography,” Proc. SPIE, 5348 119 https://doi.org/10.1117/12.528798 PSISDG 0277-786X (2004). Google Scholar

10. 

S. Diez, “The next generation of maskless lithography,” Proc. SPIE, 9761 976102 https://doi.org/10.1117/12.2211052 PSISDG 0277-786X (2016). Google Scholar

11. 

D. K. Lam, “Multicolumn e-beam lithography (MEBL) for IC traceability: MEBL enables chip ID to thwart tampering/counterfeiting,” Proc. SPIE, 11324 113240P https://doi.org/10.1117/12.2551952 PSISDG 0277-786X (2020). Google Scholar

12. 

A. Massimo, “Trends in hardware security: from basics to ASICs,” IEEE Solid-State Circuits Mag., 11 (3), 56 https://doi.org/10.1109/MSSC.2019.2923503 (2019). Google Scholar

13. 

G. Lauterbach, “The path to successful wafer-scale integration: the Cerebras story,” IEEE Micro, 41 (6), 52 –57 https://doi.org/10.1109/MM.2021.3112025 IEMIDZ 0272-1732 (2021). Google Scholar

14. 

P. K. Huang et al., “Wafer level system integration of the fifth generation CoWoS®-S with high performance Si interposer at 2500  mm2,” in IEEE 71st Electron. Components and Technol. Conf. (ECTC), 101 (2021). https://doi.org/10.1109/ECTC32696.2021.00028 Google Scholar

15. 

Y. Watanabe et al., “Digital scanner, optical maskless exposure tool with DUV solid state laser,” Proc. SPIE, 11613 1161309 https://doi.org/10.1117/12.2583680 PSISDG 0277-786X (2021). Google Scholar

16. 

Y. Kanaya et al., “Subpixel pattern edge placement controllability of digital scanner,” Proc. SPIE, 11855 1185508 https://doi.org/10.1117/12.2600898 PSISDG 0277-786X (2021). Google Scholar

17. 

Y. Watanabe et al., “Technology development progress of digital scanner,” Proc. SPIE, 12051 1205108 https://doi.org/10.1117/12.2613902 PSISDG 0277-786X (2022). Google Scholar

18. 

Y. Kanaya et al., “Data preparation for digital scanner,” Proc. SPIE, 12293 122930U https://doi.org/10.1117/12.2641419 PSISDG 0277-786X (2022). Google Scholar

19. 

S. Owa and N. Hirayanagi, “Expected innovations of optical lithography in the next 10 years,” Proc. SPIE, 9780 978004 https://doi.org/10.1117/12.2219918 PSISDG 0277-786X (2016). Google Scholar

20. 

R. Menon et al., “Zone-plate-array lithography (ZPAL): optical maskless lithography for cost effective patterning,” Proc. SPIE, 5751 330 https://doi.org/10.1117/12.598742 PSISDG 0277-786X (2005). Google Scholar

21. 

A. Witvrouw et al., “11-megapixel CMOS-integrated SiGe micromirror arrays for high-end applications,” J. Microelectromech. Syst., 12 (2), 160 https://doi.org/10.1109/JMEMS.2009.2036271 JMIYET 1057-7157 (2003). Google Scholar

22. 

Y. Shroff, Y. Chen and W. G. Oldham, “Optical analysis of mirror based pattern generation,” Proc. SPIE, 5037 550 https://doi.org/10.1117/12.484971 PSISDG 0277-786X (2003). Google Scholar

23. 

T. Sandstrom and U. Ljungblad, “Phase-shifting optical maskless lithography enabling ASICs at the 65 and 45 nm nodes,” Proc. SPIE, 5567 529 https://doi.org/10.1117/12.569258 PSISDG 0277-786X (2004). Google Scholar

24. 

A. Latypov, “Analysis of optical lithography capabilities of pixelized photomasks and spatial light modulators,” Proc. SPIE, 6349 63490R https://doi.org/10.1117/12.686518 PSISDG 0277-786X (2006). Google Scholar

25. 

D. López et al., “Two-dimensional MEMS array for maskless lithography and wavefront modulation,” Proc. SPIE, 6589 65890S https://doi.org/10.1117/12.724467 PSISDG 0277-786X (2007). Google Scholar

26. 

Y. Borodovsky et al., “Pixelated phase mask as novel lithography RET,” Proc. SPIE, 6924 69240E https://doi.org/10.1117/12.772116 PSISDG 0277-786X (2008). Google Scholar

27. 

T. Ohtsuki et al., “Efficient 193 nm generation by eighth harmonics of Er3+-doped fiber amplifier,” in Conf. Lasers and Electro-Opt. (CLEO), PD9 (2000). Google Scholar

28. 

L. Pang, “Inverse lithography technology: 30 years from concept to practical, full-chip reality,” J. Micro/Nanopattern. Mater. Metol., 20 (3), 030901 https://doi.org/10.1117/1.JMM.20.3.030901 (2021). Google Scholar

29. 

Y. Mizuno et al., “Illumination optics for source-mask optimization,” Proc. SPIE, 7640 76401I https://doi.org/10.1117/12.846476 PSISDG 0277-786X (2010). Google Scholar

30. 

J. Zimmermann et al., “Generation of arbitrary freeform source shapes using advanced illumination systems in high-NA immersion scanners,” Proc. SPIE, 7640 764005 https://doi.org/10.1117/12.847282 PSISDG 0277-786X (2010). Google Scholar

Biography

Soichi Owa received his PhD in physics from the University of Tokyo. He is a Nikon Fellow and leading an R&D team of optical direct-write technology for more than 15 years. After joining Nikon, he worked on the development of novel semiconductor manufacturing technologies including 193-nm immersion lithography. He is a SPIE Fellow and has contributed to lithography societies of SPIE Advanced Lithography, SEMI Technology Symposium, and other conferences as a conference chair or a member of program committees.

© 2023 Society of Photo-Optical Instrumentation Engineers (SPIE)
Soichi Owa "Review of optical direct-write technology for semiconductor manufacturing," Journal of Micro/Nanopatterning, Materials, and Metrology 22(4), 041402 (5 June 2023). https://doi.org/10.1117/1.JMM.22.4.041402
Received: 2 December 2022; Accepted: 26 April 2023; Published: 5 June 2023
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Spatial light modulators

Semiconducting wafers

Micromirrors

Optics manufacturing

Semiconductor manufacturing

Silicon

Digital micromirror devices

Back to Top