BackgroundThe upcoming introduction of high-numerical aperture extreme ultraviolet (EUV) lithography is accompanied by ongoing activities to mitigate the imaging issues combined with the 3D photomask absorber. In addition to suitable exposure and patterning techniques, the absorber material has a large impact on image contrast and the usable depth of focus.AimThe study of solutions to the imaging downsides combined with the EUV reflective mask typically is based on rigorous imaging simulations. We show that aerial image metrology using Aerial Image Measurement System (AIMS)® EUV is a supplementary way to verify the impact of mask 3D effects in lithography.ApproachAn AIMS® EUV study of the impact of mask 3D effects on the through-focus image formation in a three-beam interference regime is presented.ResultsExperiments on a 20-nm vertical equal lines and spaces clip using dedicated monopole illumination demonstrate a prominent, focus-dependent line-shape behavior for each of the pole positions. Applying an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects, we obtain excellent agreement between measurements and theory that allows us to derive the mutual phase shifts of the single interference cross-terms involved.ConclusionsUsing AIMS® EUV, an evaluation of the imaging impact of various absorber materials can be done experimentally without relying on wafer exposures.
KEYWORDS: 3D mask effects, Light sources and illumination, Extreme ultraviolet, Diffraction, 3D image processing, 3D modeling, Phase shifts, Simulations, 3D metrology, Extreme ultraviolet lithography
The upcoming introduction of High-NA EUV lithography in chip manufacturing is accompanied by still ongoing activities to mitigate the imaging issues combined with the 3D photomask absorber. Besides suitable exposure and patterning techniques, the mask absorber material has large impact on image contrast and the usable depth of focus. In recent years, 3D mask effects in EUV lithography have widely been investigated, and alternative absorber stacks which enable improved contrast and focus control have been proposed. The study of solutions to the challenges combined with the EUV reflective mask typically is based on rigorous imaging simulations. However, aerial image metrology can be a supplementary way to verify the impact of mask 3D effects on lithography. For this purpose, the AIMS® EUV actinic mask measurement represents a highly precise way to qualify the High-NA mask printing performance under scanner-equivalent optical column conditions. In this paper, we present an AIMS® EUV study of the impact of mask 3D effects on the through-focus image formation in a three-beam interference regime. Our experiments on a dense vertical 20nm lines and spaces clip using dedicated monopole illumination demonstrate a prominent, focus-dependent line shape deformation for each of the single pole positions. For the center monopole setting, frequency doubling of the aerial image is observed for one particular focus value. To describe the line shapes recorded, we applied an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects. We obtained an excellent agreement between measurements and calculated data that allows us to derive the mutual phase-shifts of the single interference cross-terms involved. We conclude that the aerial image behavior as observed can be traced back to a coupling of the defocus aberration and mask 3D driven effects.
In parallel to the development of EUV lithography equipment and processes towards High-NA there is a still growing interest to study and understand the role of 3D mask absorber driven effects on imaging. Alongside with appropriate exposure and patterning techniques, the choice of a suitable mask absorber material has large impact on the process window optimization. The development of solutions to the challenges combined with the EUV reflective mask typically is based on rigorous imaging simulations, but aerial image metrology can be a supplementary way to verify the role of mask 3D effects on lithography. For that purpose, the ZEISS AIMS EUV actinic mask measurement represents a unique and variously usable way for the qualification of the current as well as the next generation High-NA mask printing performance under scanner-equivalent optical column conditions. In this paper, we show results of AIMS® EUV measurements at Ta-based absorber masks where we identify a significant impact of mask 3D driven phase shifts on the aerial images. For a standard High-NA dipole setting, the intensity profiles of a dense 18 nm vertical lines and spaces structure show an initially unexpected line splitting through focus that can be reproduced by rigorous imaging simulations. In contrast, no splitting is found if the experiment is described within a 2D model. A follow-up experiment on a similar dense vertical 20 nm lines and spaces clip with dedicated monopole illumination demonstrates a prominent asymmetric line splitting for each of the single pole positions, again in accordance with simulation. For a center monopole setting we see a frequency doubling of the aerial image for one particular focus position. To describe the aerial image behavior, we applied an analytical model for three-beam-imaging in the presence of defocus and mask 3D effects. Consequently, the observed through-focus behavior can be explained by various phase shifts to the three-beam imaging cross-terms involved.
High-NA EUV lithography is being prepared for the next stage of volume production of state-of-the-art integrated devices. First wafer exposures on ASML’s EXE5000 are expected early in 2024. Beyond assessment of the benefit of high-NA by simulation, ZEISS AIMS EUV offers the potential to compare the imaging benefit of 0.55NA to the established 0.33NA, through aerial image analysis of dedicated mask patterns. The recently available capability of high-NA imaging on AIMS® EUV was applied to compare options for imec’s logic patterning roadmap, specifically for tip-to-tip structures (T2T). Beyond direct comparison of 0.33 and 0.55 NA, low-n absorber was compared to conventional Ta-based absorber. Moreover, in view of anamorphic imaging at high-NA, T2T pattern orientation was compared, i.e., either along the 4X exposure slit direction or along the 8X scan direction. Lastly pattern tonality, i.e., darkfield versus lightfield, were evaluated side by side. The comparisons were made for selected, yet not optimized, dipole-like sources. Beyond normalized intensity log-slope (NILS) for the line-space part, the through-focus analysis comprised ILS and required bias for shrinking T2T size. The results show that 0.55NA provides clear advantages, but their variation among absorber type, T2T pattern orientation and tonality highlight the potential of preferred combinations. Such are suggested as starting points for further optimization.
OPC model accuracy is an important contributor to the EPE budget in the latest lithography nodes. The overall OPC accuracy depends on accurate calibration of the sub-models capturing mask, optical, resist and etch effects. The advent of high-NA (0.55) EUV lithography with anamorphic imaging has further increased the emphasis on accurate aerial image model calibration for computational lithography. In this paper, we study the feasibility of using direct aerial image measurements with the ZEISS AIMS EUV tool for improving OPC model accuracy as well as accurate metrology of mask pattern variability, which are both relevant to EPE budgeting.
AIMS® EUV is a unique tool in the EUV mask infrastructure. It allows qualification of the mask printing performance in the aerial image under scanner equivalent conditions. For emulation of the high NA EUV scanner, ZEISS upgraded the existing 0.33 NA AIMS® EUV platform. The system can now emulate both 0.33 NA isomorphic scanners as well as 0.55 NA anamorphic scanners. We present the concept of AIMS® EUV high NA with focus on the emulation of a wafer defocus in the anamorphic high NA scanner. Besides defect review applications, this enables aerial image based high NA imaging studies.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.