Paper
16 April 2012 EUVL defect printability: an industry challenge
Hyuk Joo Kwon, Ranganath Teki, Jenah Harris-Jones, Aaron Cordes
Author Affiliations +
Proceedings Volume 8352, 28th European Mask and Lithography Conference; 83520X (2012) https://doi.org/10.1117/12.923013
Event: 28th European Mask and Lithography Conference (EMLC 2012), 2012, Dresden, Germany
Abstract
Extreme ultraviolet (EUV) patterning appears feasible using currently available EUV exposure tools, but some issues must still be resolved for EUV patterning to be used in production. Defects in EUV mask blanks are one such major issue, as evidenced by the research focused on defect printability. Inspection tools are needed to detect phase defects on EUV mask blanks that could possibly print on the wafer. Currently available inspection tools can capture defects on the mask, but they also need to be able to classify possible printable defects. Defect classification for repair and mitigation of printable defects is very difficult using DUV inspection tools; however, if the actinic inspection tool (AIT) could gather defect information from more multilayer stacks, it may be able to separate printable defects from unprintable defects. If unprintable defects could be eliminated, the defect information could be used for mask pattern shifts to reduce printable defects. Fewer defects would need to be repaired if there were a better chance of capturing printable defects using an actinic inspection tool. Being able to detect printable defects on EUV blanks is therefore critical in mask making. In this paper, we describe the characterization of native phase defects in the manufacturing of EUV mask blanks using the state-of-the-art mask metrology equipment in SEMATECH's Mask Blank Development Center (MBDC). Commercially available quartz substrates were used and Mo/Si multilayers were deposited on the substrates to characterize phase defects. Programmed defects of various dimensions were also prepared using e-beam patterning technology on which multilayers were deposited. Transmission electron microscopy (TEM) was used to study multilayer profile changes, while SEMATECH's AIT was used to image defects and predict their printability. A defect library for native defects and printability of programmed phase defects is introduced. Finally technical challenges for EUV defect printability will be discussed.
© (2012) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Hyuk Joo Kwon, Ranganath Teki, Jenah Harris-Jones, and Aaron Cordes "EUVL defect printability: an industry challenge", Proc. SPIE 8352, 28th European Mask and Lithography Conference, 83520X (16 April 2012); https://doi.org/10.1117/12.923013
Lens.org Logo
CITATIONS
Cited by 2 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Photomasks

Inspection

Extreme ultraviolet

Extreme ultraviolet lithography

Defect detection

Electron beam lithography

Semiconducting wafers

Back to Top