Open Access
22 March 2023 Inline metrology of high aspect ratio hole tilt and center line shift using small-angle x-ray scattering
Author Affiliations +
Abstract

High aspect ratio (HAR) structures found in three-dimensional nand memory structures have unique process control challenges. The etch used to fabricate channel holes several microns deep with aspect ratios beyond 50:1 is a particularly challenging process that requires exquisitely accurate and precise control. It is critical to carefully analyze multiple aspects of the etch process, such as hole profile, tilt, uniformity, and quality during development and production. X-ray critical dimension (XCD) metrology, which is also known as critical dimension small-angle x-ray scattering, is a powerful technique that can provide valuable insights on the arrangement, shape, and size of periodic arrays of HAR features. XCD is capable of fast, non-destructive measurements in the cell-area of production wafers, making XCD ideal for in-line metrology. Through several case studies, we will show that XCD can be used to accurately and precisely determine key properties of holes etched into hard mask, multilayer oxide/nitride film stacks and slit trenches. We show that the measurement of hole and slit tilt can be achieved without the aid of a structural model using a Fast Tilt methodology that provides sub-nanometer precision. Measurements were performed across several production wafers to determine the etch uniformity and quality. Particular attention was given at the edge of the wafers to account for large variations observed. In addition, we used a detailed physical model to characterize the HAR structures beyond linear tilt. This approach provides a more complete picture of the etch quality.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 International License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Peter Gin, Matthew Wormington, Yehonatan Amasay, Inbar Grinberg, Alexander Brady, Israel Reichental, Kevin Matney, Jin Zhang, and Osman Sorkhabi "Inline metrology of high aspect ratio hole tilt and center line shift using small-angle x-ray scattering," Journal of Micro/Nanopatterning, Materials, and Metrology 22(3), 031205 (22 March 2023). https://doi.org/10.1117/1.JMM.22.3.031205
Received: 14 November 2022; Accepted: 15 February 2023; Published: 22 March 2023
Lens.org Logo
CITATIONS
Cited by 1 scholarly publication.
Advertisement
Advertisement
KEYWORDS
Semiconducting wafers

X-rays

Metrology

Scattering

Etching

3D modeling

Model-based design

Back to Top