Paper
4 April 2007 An analysis of EUV-resist outgassing measurements
Author Affiliations +
Abstract
Optics contamination is a concern for extreme ultraviolet (EUV) lithography. To protect EUV optics, all materials used in EUV vacuum exposure chambers must be screened prior to use. Photoresists are a concern since a freshly coated wafer will be introduced into the chamber approximately every minute in a high volume production tool. SEMATECH and the International EUV Initiative (IEUVI) have begun a resist outgassing benchmarking experiment to compare different outgassing methodologies. Samples of the same batch of resist were sent to eight researchers. The results show a large variation of four orders of magnitude in the amount of measured outgassing products. The next steps are to correlate outgassing measurements to witness plate experiments.
© (2007) COPYRIGHT Society of Photo-Optical Instrumentation Engineers (SPIE). Downloading of the abstract is permitted for personal use only.
Kim R. Dean, Iwao Nishiyama, Hiroaki Oizumi, Anthony Keen, Heidi Cao, Wang Yueh, Takeo Watanabe, Paolo Lacovig, Luca Rumiz, Gregory Denbeaux, and Julia Simon "An analysis of EUV-resist outgassing measurements", Proc. SPIE 6519, Advances in Resist Materials and Processing Technology XXIV, 65191P (4 April 2007); https://doi.org/10.1117/12.712379
Lens.org Logo
CITATIONS
Cited by 22 scholarly publications.
Advertisement
Advertisement
RIGHTS & PERMISSIONS
Get copyright permission  Get copyright permission on Copyright Marketplace
KEYWORDS
Extreme ultraviolet

Extreme ultraviolet lithography

Synchrotrons

Contamination

Mass spectrometry

Mirrors

Molecules

Back to Top