In semiconductor industry, higher chip performance is required by the market. High-NA EUV lithography will be employed in high volume manufacturing within few years due to achieve the requirement. Another requirement from the market is a reduction of PFAS in the manufacturing process because there are of concern due to their persistence in the environment and potential adverse health effects. However, conventional EUV resist use PFAS to improve their lithography performance. Considering this situation, non-PFAS biomass EUV resist has been proposed. It was confirmed HP 10 nm L/S pattern by 0.50 NA EUV lithography using MET5 tool by Lawrence Berkeley national laboratory with non-PFAS biomass EUV resist was obtained. In this paper, we will report our updated result by lithography process optimization.
A non-PFAS biomass EUV resist is proposed as a sustainable material for reducing PFAS and CO2 emissions. It was
demonstrated that HP 8nm L/S pattern formation with a non-PFAS biomass EUV resist for beyond 2nm node. Furthermore,
biomass developer and rinse for non-PFAS biomass EUV resists are proposed to improve biomass content. This proves
that the non-PFAS biomass EUV resist can be used in high-NA EUV lithography at 8nm.
A biomass EUV non-CAR type resist is proposed as a sustainable material for reducing CO2 emissions. It was demonstrated that HP 8 nm L/S pattern formation is required for a 1.5 nm node device with a biomass EUV resist. Furthermore, two approaches for improving the EUV lithography performance of biomass EUV resists are proposed: resist structure and lithography process improvement. The pattern quality was improved upon improving the resist structure. In addition, the lithography process [pre-exposure metal infiltration (PreMi) process and the new lithography process] improved the sensitivity of the biomass EUV resist. This proves that the biomass EUV resist can be used in high-NA EUV lithography at 8 nm.
For EUV lithography, hemicellulose EUV resist was proposed and studied the relationship between lithography performance and resist structure. The key parameters of hemicellulose resist for improvement of EUV lithography performance are hemicellulose content and resist structure (EUV sensitivity unit and sensitivity enhanced unit). OPAL-R4 which has 3 times the hemicellulose content and special resist structure compared with OPAL-R1 is the best performance. For high-NA EUV lithography, hemicellulose EUV resist has a potential of high performance.
As the next generation of Extreame Ultraviolet (EUV) lithography, high-NA EUV is proposed. EUV resist is one of the challenges to achieve it. Non-CAR type hemicellulose resist was proposed last year and improved for high-NA EUV resist. The target is stable and high resolution chain scission resist. It was confirmed that hemicellulose works as a radical source by electron spin resonanse(ESR) analysis and hemicellulose improves EUV sensitivity from 50 to 28 mJ/cm2. The primary advantage of the hemicellulose resist is its excellent wafer transportability. The properties of resist such as low metal contamination, resist film uniformity, and edge cut were confirmed. The optimization of the lithography process improves the resolution of hemicellulose resist and pitch 26 nm L/S pattern was obtained with OPALTM-RH02. Furthermore, hole pattern of pitch 40 nm was also obtained.
This paper described a higher sensitivity non-CAR type hemicellulose resist and the effect of hemicellulose content and polymer structure for EUV lithography. Hemicellulose content and the resist structure are the keys of EUV sensitivity. The line width 14 nm L/S pattern by EUV lithography was successfully obtained. Other 2types of resist were developed for higher sensitivity of EUV lithography. The results will be reported and confirmed the effect of hemicellulose content and resist structure. Non-CAR type hemicellulose resist is one of the candidates for next generation EUV lithography resist.
A new non-CAR hemicellulose resist is proposed for use in high-NA EUV lithography. This resist has high sensitivity (EUV dose 34.4 mJ/cm2) and high resolution (half-pitch of more than 16 nm) compared to conventional chain scission resists. Additionally, the process flow is very simple (no need for PEB) and the resist film is stable throughout the process. It was confirmed that the RIE selectivity ratio (Si/resist) of the non-CAR hemicellulose resist was 3.7, and am L/S pattern was obtained with a Si depth of 120.4 nm and a half-pitch of 18 nm. Furthermore, a new resist process, PreMi (pre-exposure metal insertion), was proposed. The PreMi process is expected to improve the fabrication properties, increase sensitivity and contrast, and reduce defects. Te and Sn were employed as metal types in this study, and EUV L/S patterns of PreMi-Te and PreMi-Sn were obtained. It was confirmed the PreMi process improved the fabrication properties of the no-PreMi process by a factor of 2. The non-CAR hemicellulose resist and PreMi process have great potential for use in high-NA EUV lithography.
KEYWORDS: System on a chip, Silicon, Etching, Photoresist materials, Extreme ultraviolet, Extreme ultraviolet lithography, Line width roughness, Photoresist developing, Scanning electron microscopy, Antireflective coatings
Tri-layer processes, which typically consist of photoresist, Si containing anti-reflective coating (Si-ARC) and spin on carbon (SOC), have been widely used since ArF immersion lithography. Continually reduced pattern dimensions need thinner photoresist films due to the common phenomenon of post-develop line collapse with higher aspect ratios. Consequently, it has been necessary to enhance pattern transfer performance after etching with such tri-layer processes. Successfully implementing tri-layer processes requires consideration of issues such as increased process steps, cost, and other inherent limitations of pattern transfer enhancement with a more complicated stack. In this work, we present a hemicellulose SOC material with the outstanding advantage of Si etch selectivity greater than 15. Hemicellulose SOC could significantly reduce pattern transfer limitations for etching, therefore the benefits of processing higher aspect ratio structures can be more easily achieved. Herein, we investigate the hemicellulose SOC lithography performance using resolution, sensitivity, and line width roughness as metrics. Also, we demonstrate these lithography performances through the etching. During the conference, we will discuss the potential issues of next generation processes using ArF immersion and EUV lithography.
KEYWORDS: System on a chip, Reactive ion etching, Image processing, Extreme ultraviolet, Carbon, Scanning electron microscopy, Optical lithography, Etching, Semiconducting wafers, Logic devices
Regarding 3D semiconductor devices, one of difficulties is hardmask process for deep memory holes because of expensive process cost. To overcome this issue, cost effective hardmask process concept using hemicellulose SOC is newly proposed by spin-coat process and improved hardmask technology of hemicellulose SOC (made from bio-based green chemistry material). In this study, deep holes of micron scale were made after under layer RIE using hemicellulose SOC and reactive hemicellulose hardening process (R2H). RIE selectivity was extremely improved up to 65 by optimization of R2H strong process. The results show the feasibility of cost effective deep memory hole process for 3D devices. Additionally, EUV patterns (Hole CD of 24nm and L/S of hp18nm) in under layer were obtained by Hemicellulose SOC and R2H. Its aspect ratio was 15. The fine patterning results show a big potential for next generation memory and logic device processes.
Hemicellulose spin on carbon (SOC) material was newly developed for hardmask layer. For next generation lithography, high etching selectivity is strongly required. However, there is an issue of a balance of cost and etching selectivity in conventional process. Hemicellulose spin on carbon material is able to overcome this issue by virtue of its chemical structure and newly-developed reactive hemicellulose hardening (R2H). R2H means that hemicellulose unit is selectively hardened by chemical reaction. In this study, deep L/S and hole patterns were fabricated by using hemicellulose SOC with R2H and its dry etching selectivity was 26. Additionally, compatibility with EUV lithography was confirmed. Favorable pattern made of resist for EUV lithography was obtained on Hemicellulose SOC and successfully transferred into hemicellulose SOC.
1. INTRODUCTION
Directed self-assembly (DSA) lithography is one of the promising next generation lithography. There are mainly 2 requirements for next generation lithography. One is smaller size lithography for logic and DRAM etc.. Another is 3D lithography for 3D semiconductor devices. Regarding DSA lithography, wide-range DSA to expand applicable patterning size was studied. However, there are not well known a potential of 3D lithography; deep pattern of directed self-assembly and deep RIE on Si devices. This paper describes xylan high-chi block copolymer and its wider range of 3D patterning size.
2. EXPERIMENTAL RESULTS AND DISCUSSION
A structure model of xylan block copolymer for wide-range DSA lithography is proposed. It is composed of A and B part in hydrophobic part and C and D part in hydrophilic part. Part D has xylan structure. The xylan block copolymers were synthesized and dissolved in PGMEA. Metal contamination was removed. Then, they were spin-coated on a substrate with guide pattern, annealed and carried out dry development using RIE on 300 mm wafer. Directed self-assembly patterns were evaluated by SEM.
In our experimental results, half pitch 8.3 nm of L/S pattern and CD 51 nm of hexagonal hole pattern were obtained. According to these results, xylan block copolymer is suitable for wide-range DSA.
Spin coated thickness of xylan block copolymer were evaluated. From 50 nm to 1.3 μm of thickness was realized and micro phase separation was confirmed. These results suggest xylan block copolymer has a possibility of wider thickness range of micro-phase separation.
Si deep RIE of xylan block copolymer was carried out. Silicon depth was 300 nm. It seems that xylan block copolymer is suitable for 3D semiconductor lithography.
3. SUMMARY
It was confirmed xylan block copolymer is suitable for 3D patterning size on directed self-assembly lithography. It has a big potential for wider application of not only 2D but also 3D semiconductor devices.
Xylan, one of hemicellulose family, block copolymer was newly developed for wide-range directed self-assembly lithography (DSA). Xylan is higher hydrophilic material because of having many hydroxy groups in one molecule. It means that xylan block copolymer has a possibility of high-chi block copolymer. Generally, DSA is focused on microphase separation for smaller size with high-chi block copolymer and not well known for larger size. In this study, xylan block copolymer was confirmed enabling wider range of patterning size, from smaller size to larger size. The key of xylan block copolymer is a new molecular structure of block copolymer and sugar chain control technology. Sugar content is the important parameter for not only micro-phase separation property but also line edge roughness (LER) and defects. Based on the sugar control technology, wide-range (hp 8.3nm to 26nm L/S and CD 10nm to 51nm hole) DSA patterning was demonstrated. Additionally it was confirmed that xylan block copolymer is suitable for sequential infiltration synthesis (SIS) process.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.