With the adoption of multi-beam mask writers (MBMW), complex curvilinear patterns have become increasingly prevalent due to their advantages, such as a larger process window on the wafer. However, from a Mask Data Preparation (MDP) point of view, curvilinear data poses challenges related to increased data volume and computational demands. To address these challenges, the Curvilinear Working Group has introduced a new MULTIGON record as an extension of the OASIS format. The MULTIGON record represents curvilinear data as a combination of parametric curves. Previous research demonstrated that our Mask Process Correction (MPC) flow achieved sufficient accuracy on curvilinear data represented in a Piecewise-Linear (PWL) format. However, when dealing with MULTIGON input, PWL-based MPC faces a trade-off between conversion accuracy to PWL and the number of vertices, impacting runtime and data volume. This paper focuses on applying Implicit Bézier curve representation in MPC without converting MULTIGON to PWL. We will review the capabilities of Bézier-based MPC for MULTIGON input in terms of computational performance, output data volume, and correction accuracy. Additionally, we will highlight the advantages of utilizing Bézier curve representation for MPC flow over conventional PWL-based curvilinear data.
As semiconductor device scaling continues to challenge lithographic capabilities, complex corrections such as those offered by inverse lithography technology (ILT) have become essential. The MULTIGON record, developed to utilize Bezier curves for efficiently representing curved mask patterns through ILT, has been incorporated into the SEMI P49 extension of the OASIS format. Over time, as the standard format has been established, EDA vendors and mask manufacturing equipment makers have progressively implemented these specifications, enabling them to evaluate using various real-world data. Our earlier study has not only addressed the handling of Bezier curves in Mask Data Preparation (MDP) but have also raised and discussed fundamental issues regarding the necessary geometric operations for MDP, exploring potential problems that might arise. This paper evaluates their practical application in mask layouts, specifically focusing on the challenges associated with implicit Bezier curve representations and the sizing operation to implicit Bezier curves, which is very essential geometric operations for MDP.
The integration of curvy mask patterns represented by Inverse Lithography Technology (ILT), into Mask Data Preparation (MDP) has been a practical reality due to the emergence of multi-beam mask writers. This has led to a shift in the industry’s focus from approximating curvy patterns with piecewise linear polygons to directly handling the curves themselves to satisfy the requirements of higher fidelity and data volume. To address this challenge, a working group has been organized with the mask industry to extend OASIS format so that curves can be represented directly. This will lead a growing need for direct handling of curves in various stages of MDP. The geometry processing algorithms used in MDP are designed and optimized for Manhattan shape and piecewise linear polygons so that direct handling of curves in such algorithms are challenging and still in an early stage. This study aims to provide insight of the impact of the introduction of curve patterns into MDP through a comparison with the traditional piecewise linear polygon representation.
Since the design nodes gradually decreased and EUV production became reality, the data volume is continuously increasing due to Hard OPC & Flare Correction. Multi-Beam Mask Writers (MBMW) enabled mask exposures with curvilinear and circle pattern that have not been possible before. This soon led to an increase in the number of vertexes of design data and an increase in Mask Data Preparation turnaround times (MDP TAT). A data flow based on the newly developed MBW-2 file format was developed jointly with Nippon Control System and IMS nanofabrication and significantly improved MDP TAT. The effect was confirmed by verifying it with actual data using large-volume data and curvilinear data EUV masks exposed on MBMW. In addition, the MDP TAT was further improved by studying file write method. In this paper, we introduce the concept and application of the new data flow. Furthermore we will present the results on TAT and output file sizes. Finally, we will discuss each step in the data flow in detail.
KEYWORDS: Photomasks, Extreme ultraviolet, Information technology, Data processing, Data conversion, Data storage, Extreme ultraviolet lithography, Data corrections
The semiconductor industry's migration to EUV for the leading-edge nodes provides significant advantages and poses new challenges for the mask writers. The mask quality requirements for the leading-edge process technology call for ILT (Inverse Lithography Technology) and curvilinear patterns to improve the attainable resolution on wafers and extend the process windows. While single-beam writers cannot handle writing arbitrary curvilinear patterns without throughput penalty, multi-beam mask writers (MBMW) can easily print such patterns without degrading their throughput5,6. However, the transition to the curvilinear mask ILT significantly increases the layout complexity, posing a challenge for data transfer and storage systems as the file sizes increase substantially1. Traditionally, multi-beam-writers have been using a mask data input format derived from OASIS P44, namely OASIS.MBW 1.0/1.2, leading to multi-terabyte layouts. We present OASIS.MBW 2.1 as an efficient data format for curvilinear ILT masks. OASIS.MBW 2.1 enables a dramatic reduction in file size and vertex-density and preserves the qualities of OASIS.MBW 1.1/1.2 as well as quick and easy adaptability for the end-users. This is achieved by allowing the use of the OASIS P39 POLYGON RECORD in addition to the other OASIS.MBW 1.x properties, creating a hybrid format between OASIS P39 and P44 that provides a minimal file size comparable to OASIS P39 for pre- and post-fracture data operations at the fabs. Consequently, OASIS.MBW 2.1 provides the optimal combination of minimized file size and minimized required CPU time, thus enabling fast processing as well as a fast transfer for all applications.
In this contribution we describe a simulation and experimental study investigating the impact of mask non-ideality and Mask Process Correction (MPC) model choices on Optical Proximity Correction (OPC) model accuracy for an EUV use case. We describe simulation flows and their results for two cases. In the first case we investigate the impact of using an MPC simulated mask contour vs an ideal post-OPC mask. In the second case we investigate the differences between simulations using experimentally measured and simulated mask contours. The wafer data used in this study is an N5 M2 process developed at IMEC with contour-based metrology performed using ASML MXP. NCS NDE-MPC models are created using POR CDSEM CD data and MXP contour data. OPC models are calibrated and evaluated using ASML FEM+ software.
EUV lithography draws increasing attention and its expectation is rising. For instance, replacing a triple patterning with ArF immersion lithography to EUV single patterning may reduce 50% of cost and 25% of cycle time [1]. At the same time, the importance of MPC (Mask Process Correction) is also growing [2] [3] [4]. It has become no longer possible to handle recent small and complex features using a rule-based bias approach. It is known that EUV lithography masks have a different structural stack so that “short range effect” of EB proximity effect is observed in mask writing [5]. In this paper, we investigated the above short range effect through MPC model calibration. Mask data preparation step of EUV mask case is performed and the Turn-a-around (TAT) is compared with conventional DUV mask case.
To achieve the ultimate resolution and process control from an optical (193i 1.35NA) scanner system, it is desirable to be able to exploit both source and mask degrees of freedom to create the imaging conditions for any given set of patterns that comprise a photomask. For the source it has been possible to create an illumination system that allows for almost no restrictions in the location and intensity of source points in the illumination plane [1]. For the mask, it has been harder to approach the ideal continuous phase and transmission mask that theoretically would have the best imaging performance. Mask blanks and processing requirements have limited us to binary (1 and 0 amplitude, or 1 and -0.25 amplitude (6% attenuated PSM)) or Alternating PSM (1, 0 and -1 amplitude) solutions. Furthermore, mask writing (and OPC algorithms) have limited us to Manhattan layouts for full chip logic solutions. Recent developments in the areas of mask design and newly developed Multi-Beam Mask Writers (MBMW) have removed the mask limitation to Manhattan geometries [2]. In this paper we consider some of the manufacturing challenges for these curvilinear masks.
Demand for mask process correction (MPC) is growing for leading-edge process nodes. MPC was originally intended to
correct CD linearity for narrow assist features difficult to resolve on a photomask without any correction, but it has been
extended to main features as process nodes have been shrinking.
As past papers have observed, MPC shows improvements in photomask fidelity. Using advanced shape and dose
corrections could give more improvements, especially at line-ends and corners. However, there is a dilemma on using
such advanced corrections on full mask level because it increases data volume and run time. In addition, write time on
variable shaped beam (VSB) writers also increases as the number of shots increases.
Optical proximity correction (OPC) care-area defines circuit design locations that require high mask fidelity under mask
writing process variations such as energy fluctuation. It is useful for MPC to switch its correction strategy and permit the
use of advanced mask correction techniques in those local care-areas where they provide maximum wafer benefits. The
use of mask correction techniques tailored to localized post-OPC design can result in similar desired level of data
volume, run time, and write time. ASML Brion and NCS have jointly developed a method to feedforward the care-area
information from Tachyon LMC to NDE-MPC to provide real benefit for improving both mask writing and wafer
printing quality.
This paper explains the detail of OPC care-area feedforwarding to MPC between ASML Brion and NCS, and shows the
results. In addition, improvements on mask and wafer simulations are also shown. The results indicate that the worst
process variation (PV) bands are reduced up to 37% for a 10nm tech node metal case.
The increasing complexity of RET solutions has increased the shot count for advanced photomasks. In particular, the
introduction of the inverse lithography technique (ILT) brings a significant increase in mask complexity and
conventional fracturing algorithms generate many more shots because they are not optimized for curvilinear shapes.
Several methods have been proposed to reduce shot count for ILT photomasks. One of the stronger approaches is model-based
fracturing, which utilizes precise dose control, shot overlaps and many other techniques. However, it requires
much more computation resources and upgrades to the EB mask writer to support user-level dose modulation and shot
overlaps.
We proposed an efficient algorithm to fracture curvy shapes into VSB shots5 which was based on geometry processing.
The algorithm achieved better EPE and reasonable process time compared with a conventional fracturing algorithm but
its fracturing quality can be degraded for the pattern which has relatively rough contour though it is curvy ILT pattern.
In this paper, we present a couple of general techniques to refine a set of VSB shots to reduce edge placement error
(EPE) to an original curvy contour with their experimental results.
The increasing complexity of RET solutions has increased the shot count for advanced photomasks. In particular, the
introduction of the inverse lithography technique (ILT) brings a significant increase in mask complexity and
conventional fracturing algorithms generate much more shots because they are not optimized for curvilinear shapes.
Several methods have been proposed to reduce shot count for ILT photomasks. One of the stronger approaches is the
model-based fracturing, which utilizes precise dose control, shot overlaps and many other techniques. However, it
requires much more computation resource and upgrades to the EB mask writer to support user-level dose modulation
and shot overlaps.
The algorithm proposed here is not model-based but based on geometry processing, the combination of shape extraction
and direct manhattanization. Because it is not based on physical simulation, its processing speed is as fast as a
conventional fracturing algorithm. It can generate both non-overlapping shots and overlapping shots and does not require user-level dose modulation. As the result, it can be utilized for the current standard VSB mask writers.
KEYWORDS: Nondestructive evaluation, Distributed computing, Data storage servers, Databases, Data storage, Data communications, Control systems, Photomask technology, Current controlled current source, Data conversion
Data volume is getting larger every day in Mask Data Preparation (MDP). In the meantime, faster data handling is
always required. MDP flow typically introduces Distributed Processing (DP) system to realize the demand because using
hundreds of CPU is a reasonable solution. However, even if the number of CPU were increased, the throughput might be
saturated because hard disk I/O and network speeds could be bottlenecks. So, MDP needs to invest a lot of money to not
only hundreds of CPU but also storage and a network device which make the throughput faster.
NCS would like to introduce new distributed processing system which is called "NDE". NDE could be a distributed disk
system which makes the throughput faster without investing a lot of money because it is designed to use multiple
conventional hard drives appropriately over network. NCS studies I/O performance with OASIS® data format on NDE
which contributes to realize the high throughput in this paper.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.