Several stitching approaches are considered to secure patterning performance across the stitch boundary and at ASML Brion we are developing solutions to support patterning at resolution across the stitch. Sensitivity analysis is performed to quantify contrast, CD control, and pattern placement performance across the stitch boundary for holes and line/space layers and experimental CD control and experimental process capability and reticle patterning performance is presented and compared to the latest simulation and modelling capability using calibrated 0.33NA models and exposures. Especially important is to quantify cross talk of model accuracy errors, reticle CD errors, and placement errors in the stitching region where advanced models, scanner control and process design strategies are required.
The EUV High-NA scanner brings innovative design changes to projection optics, such as introducing center obscuration and the anamorphic projection optical system in the projection optics box (POB) to improve the system transmission while the NA is improved1 . These design changes need to be accounted for in the computational lithography software solutions, to ensure accurate modeling and optimization of the High-NA system performance on wafer. In this paper, we will systematically investigate the benefits of Source Mask Optimization (SMO) and mask only optimization to explore EUV High-NA full chip patterning solutions, where mask 3D effects (M3D) are captured in the optical modeling. The paper will focus on assessing the performance (including process window, depth of focus, normalized image log slope) of through-pitch 1D Line/space (L/S) patterns and 2D Contact/Hole (CH) patterns after aforementioned optimizations and demonstrate the impact of center obscuration on imaging. In addition, we will investigate the effect of sub-resolution assistant feature (SRAF) on High-NA patterning via comparing the optimized lithographic performance with and without SRAF. These findings will help determine the most optimal patterning solutions for EUV High-NA as we move towards the first High NA EUV insertion. The paper will also discuss the anamorphic SMO where MRC and mask description needs to change from wafer plane (1x1) to scaled reticle plane (1x2). The interfield stitching will also be briefly discussed in this paper.
An increased interest to stitching for High NA EUVL is observed; this is driven by expected higher demand of larger size chips for various applications. In the past a recommendation was published [1] to have 1-5 um band where no critical structures of a High NA layer would be allowed. In [2], we have introduced new insights on at-resolution stitching. In this publication, we present new experimental results obtained on NXE:3400B scanner. In the past we showed NXE feasibility results of vertical lines and contact holes stitching at relaxed resolution (40-48 nm pitch) in a single wafer location. In this study we evaluate stitching behavior through slit at more aggressive resolutions (P36 and P24 lines / spaces). We provide an overview of interactions in the stitching area such as aerial image interactions, absorber reflection, absorber to black border transition, black border vicinity impact and show corresponding experimental and simulations results. We formulate initial requirements for black border edge placement control and show performance of new masks. For stitching with low-n masks, we discuss using sub-resolution gratings to suppress the elevated mask reflectivity. We show rigorous simulations of stitched images, its sensitivity to overlay errors and propose mitigation mechanisms for OPC. Finally, an overview of stitching enablers will be described: from improved reticle black border position accuracy and absorber reflectivity control to mask resolution and OPC requirements.
Light-matter interaction in the context of optical trapping forms the fundamental basis for manipulating objects, enabling a plethora of exciting discoveries in many aspects of science and applications. To date, optical trapping has been explored exclusively on the interactions between electric field component of light and matter. Here we demonstrate the first magnetic optical trap in manipulating nano-objects in space. The potential created purely from magnetic component of light can selectively trap nanoparticles based on the optical magnetic susceptibility. Our work presents a new degree of freedom for studying fundamental light-matter interactions and nano-trapping and manipulation technologies.
In this work, the authors will review the laser operation and imaging fundamentals behind a new method of alternating wavelengths in a single exposure and the application to Customer use cases. Two focal positions are created that are averaged over the exposure field, which can be turned on and off, thus eliminating any potential scanner calibration issues. The main focus of this work is the application of this imaging method (single exposure with two focus positions) to significantly improve the sidewall angle linearity of features in extremely thick photoresist applications. This novel technique, called MFI (multi-focal imaging), can be tuned specifically to provide the required amount of focus offset for a specific thick photoresist application. There are several Customer use cases that have been evaluated in simulation and demonstrated on wafer.
Advancing technology nodes in CMOS Image Sensors (CIS) continues to drive a shrinking process to acquire higher resolution and low power consumption as well as more cost-effective production. With the sensor pixel size scaling down, a thicker photoresist (with aspect ratios greater than 10:1) is introduced to block high-energy implants with extremely localized implant profiles. Then double exposures/double focus (DE/DF) is applied to make sure the resist profile and process window is comparable or better. However, this process is a big challenge at high volume manufacturing (HVM) phase because of throughput loss. To recover it due to DE/DF, we invented SE MFI which uses two wavelengths (“colors”) generated by the KrF excimer laser to solve the problem. Due to the chromatic aberrations in the lens, the focal plane shift of different wavelength produces nearly the same result as DE/DF. However, the use of two-wavelengths brings some challenges. The first is the loss of image contrast and the second is the impact of chromatic aberrations across the slit which results in image shift and image asymmetry. In this work, we demonstrated that the use of ASML’s Tachyon KrF MFI source mask optimization (SMO) that can match the MFI SE process to DE/DF process of record (POR). We first used Tachyon Focus-Exposure Modeling plus (FEM+) to calibrate a DE resist model by using DE POR wafer data. Then we converted the DE model to a SE MFI model. At the end, we use the Tachyon MFI-SMO to optimize the SE MFI to match the DE/DF and MFI sidewall profiles through process window conditions at the center slit. We achieved making the MFI and DE/DF sidewall difference significantly smaller than other noises which can be measured on wafer at the center slit. We evaluated the chromatic aberration impact on through slit sidewall profiles also meet the specification. The through slit matching between MFI and DE/DF was further improved by through-slit mask optimization. This is done by inserting asymmetry sub resolution assist features (SRAFs). Tachyon Optical Proximity Correction plus (OPC+) can support full chip mask corrections for full-chip HVM. The above MFI technology including Tachyon optimization capability will be verified by wafer exposure via comparison between MFI and DE wafer results.
Over the years, lithography engineers have continued to focus on CD control, overlay and process capability to meet node requirements for yield and device performance. Previous work by Fukuda1 developed a multi-exposure technique at multi-focus positions to image contact holes with adequate DOF. Lalovic2 demonstrated a fixed 2-wavelength technique to improve DOF called RELAX. The concept of multi-focal imaging (MFI) was introduced3 demonstrating two focal positions are created that are averaged over the exposure field, this wavelength “dithering” approach which can be turned on and off, thus eliminating any potential scanner calibration issues.
In this work, the application of this imaging method (1 exposure-2 focus positions) can be used in thick photoresist and high aspect ratio applications. An example of thick photoresist imaging is shown in figure 1. We demonstrate 5um line and space features in 10um of photoresist at 3 different imaging conditions. On the left, single focus imaging (SFI) at best dose and focus, the center image which is also SFI but at a defocus of +3.2um. On the right is MFI with 2 focus positions of 0 and 2.8um. Here we can see a significant improvement in the SWA linearity and image profile quality. A second example of high aspect ratio imaging using MFI is shown in figure 2. The aspect ratio of 13:1 is shown for this. The use of Tachyon KrF MFI source – mask optimization flow will be reviewed to demonstrate optimum conditions to achieve Customer required imaging to meet specific layer requirements.
In our previous work [R. Zhao, J. Zhou, Th. Koschny, E. N. Economou, and C. M. Soukoulis, Phys. Rev. Lett. 103,
103602 (2009)], we demonstrated theoretically that one can obtain repulsive Casimir forces and stable nanolevitations
by using chiral metamaterials if the chirality is strong enough. In our recent work [R. Zhao, Th. Koschny, E.N.
Economou, and C.M. Soukoulis, Phys. Rev. B 81, 235126 (2010)], we checked some chiral metamaterial designs and
found that the artificial chiral metamaterials constructed by passive materials is very difficult to reach the critical
chirality to realize repulsive Casimir force. Therefore, in this paper, we give a four-folded rotated Ω-particle chiral
metamaterial as an example, use the effective medium approximation to retrieval the constitutive parameters, and take
the same procedure as we did before to see how much the chiral metamaterial can reduce the attractive force. It shows
that this un-optimized chiral metamaterial can reduce the Casimir attraction by 70%.
Access to the requested content is limited to institutions that have purchased or subscribe to SPIE eBooks.
You are receiving this notice because your organization may not have SPIE eBooks access.*
*Shibboleth/Open Athens users─please
sign in
to access your institution's subscriptions.
To obtain this item, you may purchase the complete book in print or electronic format on
SPIE.org.
INSTITUTIONAL Select your institution to access the SPIE Digital Library.
PERSONAL Sign in with your SPIE account to access your personal subscriptions or to use specific features such as save to my library, sign up for alerts, save searches, etc.